Reactor scale simulation of an atomic layer deposition process

Reactor scale simulation of an atomic layer deposition process

CHEMICAL ENGINEERING RESEARCH AND DESIGN 9 4 ( 2 O I 5 ) 5 8 4 -5 9 3 Contents lists available at ScienceDirect Chemical Engineering Research and D...

6MB Sizes 14 Downloads 132 Views

CHEMICAL ENGINEERING RESEARCH AND DESIGN 9 4

( 2 O I 5 ) 5 8 4 -5 9 3

Contents lists available at ScienceDirect

Chemical Engineering Research and Design ELSEVIER

IChemE

jo u rn a l hom epage: w w w .e ls e v ie r.c o m /lo c a te /c h e rd

Reactor scale simulation of an atomic layer deposition process

CrossMark

Mohammad Reza Shaeri®, Tien-Chien Jena’h’*, Chris Yingchun Yuan“ 3 Department o f Mechanical Engineering, University ofWisconsin-Milwaukee, Milwaukee, W1 53211, USA b School o f Engineering, University o f Alaska Anchorage, Anchorage, AK 99508, USA

ABSTRACT To simulate an atomic layer deposition (ALD) process in a reactor scale, three-dimensional deposition o f A120 3 from trimethylaluminum and ozone inside a viscous flow reactor is investigated. The chemistry mechanism used includes both gas-phase and surface reactions. The simulations are performed for a fixed operating pressure of 10 torr (1330 Pa) and two substrate temperatures at 250 °C and 300 °C. The Navier-Stokes, energy, and species transport equations are discretized through the finite volume method to simulate transient, laminar and multi-component reacting flows. It is found that the larger surface reaction rate constant, and the greater concentrations of gaseous reactants at the substrate result in higher deposition rates on the substrate at 300 °C. At a fixed substrate temperature, the deposition rate distributions are the same among all the cycles that indicate a constant growth rate at each cycle. As a result, AI2O3 growth rates of 3.78 angstrom/cycle and 4.52 angstrom/cycle are obtained for the substrate temperatures of 250°C and 300 °C, respectively. © 2014 The Institution of Chemical Engineers. Published by Elsevier B.V. All rights reserved.

Keywords: Atomic layer deposition; Multi-component mixture; Finite volume; Gas-phase reaction; Surface reaction; Surface coverage

1.

Introduction

A tom ic layer deposition (ALD) is w id ely recognized as a key enabling nanotechnology w ith capability to deposit ultrathin, conform al and pinhole-free nano-film s on com plex structures (Wind and George, 2010). In nature, ALD is a derivative of chem ical vapor deposition (CVD) w here in an ALD a binary reaction a + b - > c + d i s split into self-lim iting surface reac­ tions betw een the gaseou s precursors a and b, and the absorbed sp ecies on a substrate (Kim et al., 2010). In ALD operations, precursors are alternatively pulsed into a reactor, w ith a com plete purge in b etw een , to produce monolayerby-m onolayer thin film s on the substrate in a cyclic m anner (Katamreddy et al., 2006). In the process, purging is a crucial step to prevent the CVD type o f thin film growth b etw een unreacted precursors in the reactor. ALD operations are typ­

ically characterized by a tim in g-seq u en ce o f t i- t 2- t 3-t 4 for (i) exposure o f the first precursor for ti s, (ii) purge o f the reactor for t2 s, (iii) exposure o f the secon d precursor for t 3 s, and (iv) purge of the reactor for t4 s (Tamm et al., 2012). In general, ALD reactors are divided into tw o groups as viscou s flow reactors and m olecular flow reactors (Ritala and Leskela, 2002). W ith a m uch faster film depositions, viscou s flow reactors are often u sed in ALD processes (Elam et al., 2002). Generally, an ALD process includes m icroscopic and m acroscopic length scales called feature and reactor scales, respectively. A feature scale corresponds to m icroscopic trenches on a substrate surface, and a reactor scale relates to reactor geom etrical d im ensions such as a substrate diameter. Since operating pressures in sid e a viscou s flow reactor range b etw een 1 and 10 torr (133-1330Pa) (Schuisky et al., 2002), gas m ean-free paths m ayb e comparable w ith m icroscopic lengths w hile m acroscopic lengths are m uch larger than m ean-free paths. As a result, very large and very sm all Knudsen num bers

* Corresponding author at: Department of Mechanical Engineering, University ofWisconsin-Milwaukee, Milwaukee, WI 53211, USA. Tel.:+1414 336 0378. E-mail addresses: [email protected], [email protected] (T.-C. Jen). Received 7 February 2014; Received in revised form 2 August 2014; Accepted 28 September 2014 Available online 2 October 2014 http://dx.doi.Org/10.1016/j.cherd.2014.09.019 0263-8762/© 2014 The Institution of Chemical Engineers. Published by Elsevier B.V. All rights reserved.

CHEMICAL ENGINEERING RESEARCH AND DESIGN 9 4

Nomenclature ai-ci7

A b' b" B Br Cp D Dt Djj E / g' g" g G h H H° I ;A

J Jn

kf kp K K Kb m M,jep N Np Ng

Nr

Ns N surf

P R R3 < 3i Re s'

coefficients of the polynomial functions for calculation of thermodynamics properties of gaseous species Pre-exponential factor in an Arrhenius expres­ sion (m3/mol s) reactant stoichiometric coefficient of a bulk species in a surface reaction product stoichiometric coefficient of a bulk species in a surface reaction bulk species in a surface reaction Brinkman number specific heat (J/kgK) inlet, outlet, and substrate diameter (m) thermal diffusion coefficient (kg/m s) binary diffusion coefficient (m2/s) Activation energy in an Arrhenius expression (J/mol) mole fraction reactant stoichiometric coefficient of a gaseous species in a surface reaction product stoichiometric coefficient of a gaseous species in a surface reaction gravitational acceleration vector (m/s2) gaseous species in a surface reaction mixture enthalpy (J/kg) enthalpy (J/mol) standard state enthalpy (J/mol) unity tensor direction in Y coordinate diffusive mass flux (kg/m2 s) diffusive mass flux normal to the substrate (kg/m2 s) forward reaction rate constant (consistent units) backward reaction rate constant (consistent units) mixture thermal conductivity (W/m K) species thermal conductivity (W/m K) Boltzmann constant (J/K) mass flow rate (kg/s) mass deposition rate on the substrate (kg/m2 s) total number of gaseous species inside the gaseous mixture total number of bulk species in a surface reac­ tion total number of gaseous species in a surface reaction total num ber of gas-phase reactions total number of surface species in a surface reaction total number of surface reactions pressure (Pa) gas constant (J/mol K) molar reaction rate in a gas-phase reaction (mol/m3 s) molar reaction rate in a surface reaction (mol/m2 s) Reynolds number reactant stoichiometric coefficient of a surface species in a surface reaction

s" S s°

t T 1/ v" V w

X, Y, Z y Z

( 2 0 I 5)

5 8 4 -5 9 3

585

product stoichiometric coefficient of a surface species in a surface reaction surface species in a surface reaction standard state entropy (J/mol K) time (s) temperature (K) reactant stoichiometric coefficient in a gasphase reaction product stoichiometric coefficient in a gasphase reaction velocity vector (m/s) molecular weight (kg/mol) Cartesian coordinates mass fraction site coverage

Greek symbols temperature exponent in an Arrhenius expres­ P sion sticking coefficient r r total surface site concentration (kg mol/m2) maximum energy of attraction (J) £ rate exponent of a gaseous species in a surface reaction A gas mean-free path (m) mixture viscosity (kg/m s) A ii : species viscosity (kg/m s) mixture density (kg/m3) p O Lennard-Jones collision diameter (m) 0 third bodies effects in a gas-phase reaction (mol/m3) IP' rate exponent of a surface species in a surface reaction collision integral for diffusion (dimensionless) collision integral for viscosity (dimensionless) fill Subscripts Ar respect to argon in respect to the inlet i respect to the ith species respect to the jth species j respect to ozone o3 r respect to the rth reaction s respect to the substrate TMA respect to trimethylaluminum Superscripts * respect to a surface species B respect to a bulk species

are formed inside the reactor due to feature scales and reactor scales, respectively. In this case, it is a big challenge simulat­ ing an ALD process due to the coexistence of molecular and continuum flows inside the reactor. Depending on simulation goals, an ALD process is com­ putationally studied through a specific scale. A multi-scale simulation provides more comprehensive details about a whole ALD process. Also, a feature scale simulation is used to study film depositions on the substrates including microscopic pores/trenches. However, investigations of flow patterns and species transports inside ALD reactors may be perfectly

586

CHEMICAL ENGINEERING RESEARCH AND DESIGN 9 4

performed through reactor scale simulations if a smooth sub­ strate is used. In this case, using feature scale simulations are not recommended since they are usually time-consuming and computationally expensive. For example, Gobbert et al. (2007) applied a parallel computational technique with high performance computers on a distributed-memory cluster to simulate film depositions in a CVD process through transient Boltzmann equations. Improvements in performances of a reactor enhance the productivity of an ALD process. Our future goals are presenting new types of ALD reactors with higher performances by changes in structures and design parameters of current reac­ tors. Therefore, in order to have sufficient estimations about productivities of new reactors, their performances should be investigated computationally. Since changes in reactor designs affect strongly flow patterns and species transports inside the reactors, we are specifically interested in studying the transport phenomena inside ALD reactors through reactor scale simulations. However, our literature review found that m ost ALD simulations were performed on either large Knudsen numbers in feature scales or multi-scales (Gobbert et al., 2002; Hu et a l, 2009; Dendooven et al., 2009; Adomaitis, 2010). This study is to present a numerical model to simulate an ALD process in a reactor scale to improve ALD character­ istics due to either reactor design parameters or operating conditions. The numerical approach is validated with the benchmark solutions and the simulation process is specified by deposition of AI2 O3 from trimethylaluminum (TMA) and ozone for an operating pressure of lOtorr (1330 Pa) and two substrate temperatures of 250 °C and 300 °C.

2.

Problem description

The reactor used in this study is illustrated in Fig. 1. It is assumed that the substrate is completely smooth (without any pores/trenches on the substrate surface); therefore, the sm allest length scale inside the reactor is equal to 2 mm. The numerical procedure is described for deposition of AI2 O3 from TMA, Al(CH3)3 , and ozone, O3 , as the metal and oxygen sources, respectively, based on the global reaction 2 Al(CH3)3 + O3 -» AI2 O3 + 3 C2 H6 (Kim et al., 2002). An inert argon, Ar, is used as the purge gas to remove unreacted precursors and reaction products from the reactor. The reaction m echanism used is retrieved from the software package CHEMKIN-PRO, developed by Reaction Design. This m echanism includes three irreversible surface reactions and two reversible gas-phase reactions, as follows: (51) A1(CH3)3 + 0 * - X a 1(CH3)2 + 0.5C2 H6

y

= 0.1

k/

(Gl) 0 3 + M<=*02 + O + M A = 4.51 x 109 m 3/m ol s, /J = 0, h E = 100416J/mol

(G2) O + 0 3 ^ 2 0 2 A = 2.96 x 107 m 3/m ol s, p = 0,

( 2 0 I 5 ) 5 8 4 -5 9 3

(S3) 0.5A1(CH3)OA1(CH3)’ + o \ o * + 0.5C2 H6 + 0.5(Al2 O3)B y

=

1.0

where the asterisk and B superscripts represent surface and bulk species, respectively, and the remaining elem ents are gaseous species. The chemistry m echanism used in this study is simplified for illustration purposes only and should not be used as a source of kinetic data (CHEMKIN-PRO, 2013). A timing-sequence of 1-2-72-5 is used for each ALD cycle that corresponds to (i) pulsing TMA for 1 s, (ii) purging the reac­ tor with pure argon for 2 s, (iii) pulsing ozone for 72 s, and (iv) purging the reactor with pure argon for 5 s. Such a timingsequence is established by several tests such that 1 s and 72 s are long enough to cover more than 99.900% of the substrates by Al(CH3)2 and O*, respectively, during the TMA and ozone exposures. Also, 2 s and 5 s are sufficiently long to fill more than 99.000% of the reactor volumes by pure argon at the first and second purges, respectively. The TMA exposure includes only the surface reaction SI while other reactions all occur during the ozone exposure. The inlet conditions are T;n = 300K, / tma = 1-0 and Vjn = 0.6 m /s j for the TMA exposure, Tjn = 300 K, /o 3 = 1.0 and Vin = 0.6m /sj for the ozone exposure, and Tj„ = 300K,/Ar = 1.0 and Vjn = 4.2 m /s j for both purges. At the outlet, zero axial gra­ dients for all the variables are imposed. To set the outlet boundary conditions correctly, the outlet is located far enough from the bottom surface of the reactor. The remaining faces are walls at a fixed temperature same to the substrate. Except for the substrate, no-slip boundary conditions and zero diffu­ sive m ass fluxes normal to the faces are imposed for the other walls. At the substrate, Al2 0 3 films are deposited due to the surface reactions as described in the following section. The simulations are performed for a fixed operating pres­ sure of lOtorr (1330Pa) and two substrate temperatures of 250°C and 300°C.

3.

Numerical model

3.1.

Governing equations

In this study, the maximum Knudsen number, A/D = KbT/V27tP(t2D (Woudberg and Du Plessis, 2008), is less than 0.01 by using Kb = 1.38 x 10_23 J/K as the Boltzmann constant, a = 2.75 x 10-10 m as the smallest collision diameter provided from (CHEMKIN-PRO, 2013), T = 573 K as the maximum tem ­ perature, P = 1330 Pa as the pressure, and D = 0.002 m as the sm allest physical length scale inside the reactor. Therefore, due to A/D < 0.01, applying the continuum flow assumption with no-slip boundary conditions is accurate to simulate flow fields inside the reactor (Hashemi et al., 2013; Gharamaleki and Shams, 2011). As a result, the governing equations are set as follows:

^ + V-(pV) = 0

(1)

h E = 25104J/mol ~(pV) + V.(pVV) = -VP + V. n ( V V+ ( VV ) T) - | / x ( V . V ) i 01

(52) 2A1(CH3)2 + 0-^>Al(CH3)0Al(CH3)* + C2H6 y = 1.0

+ pq

(2)

CHEMICAL ENGINEERING RESEARCH AND DESIGN 9 4

587

( 2 O I 5 ) 5 8 4 -5 9 3

outlet

Fig. 1 - (a) Three-dimensional view of the reactor, (b) Top view of the reactor, (c) Side view of the reactor. N

J t (ph) + V . (pVh) = V ■(KVT) -

The density field of the gaseous mixture is obtained from the ideal gas law for a multi-component mixture, as shown below (Perkins and Sahajwalla, 2007):

Y , (it ■v§:) i=l

N Nr (6)

i= l r = l

The molar reaction rate for the rth reversible gas-phase reaction is described as follows (CHEMKIN-CFD, 2011): J ( W ) + v ■(pVyt) = -V ./j + W j ] T [ « r - vir)Rf]

(4)

r= l

R? = 0 r

In Eq. (3), h is the mixture enthalpy and is obtained as h = anci tble enthalpy of the ith species is calculated as Hj = H? + W j J 2gg 15 Cp jdT. A Brinkman number, Br = /r V /K(TS- T in), is an indica­ tor of viscous dissipations strength. To measure the effects of viscous dissipations, Brin is used instead of local Brinkman numbers in this study. At the present operating conditions, the inlet mixture viscosity, and the inlet thermal conductiv­ ity range from 1.03 x 10~5kg/ms to 2.44 x 10_5kghns, and 0.013W/mK to 0.024W/mK, respectively, that result in 5.6 x 10~7 < Br;„ < 1.5 x 10“4. Therefore, due to small values of Brinkman numbers, viscous dissipations are neglected in the energy equation. The diffusive mass flux as a concentration and temperature-dependent variable is calculated as follows for a non-dilute multi-component mixture:

Ji = - P

1 -fi

E jj * iOj/Di;)

- VT V yj - Dj

(5)

<*

n

n (If

(7)

products

where &r represents the effect of third bodies in the rth reaction. In a gas-phase reaction, the forward reaction rate constant is obtained based on the Arrhenius expression kj = ATfi exp(-E/RT) and the backward reaction rate constant is cal­ culated from the reaction equilibrium constant (Kleijn, 2000; Chen et al., 2012). The general form for the rth irreversible surface reaction is as follows (ANSYS FLUENT Theory Guide, 2011): N3

Nb

Ns

+ £ b i,rBi + £ s ;,r; i= l i= l

i= l

i= l

Ns '1 ,rBi + i= l

E sfrS |

(8)

i= l

where G, B, and S correspond the gaseous species, the bulk species, and the surface species, respectively, with the total numbers of Ng, N^, and Ns, respectively, in the rth surface

588

CHEMICAL ENGINEERING RESEARCH AND DESIGN

reaction, g', b' and s' are the stoichiometric coefficients of the reactants, and g'', b'' and s" are the stoichiometric coefficients of the products. The surface reaction rate constant is specified in term s of a sticking coefficient as follows (CHEMKIN-CFD,

94

584-593

(20 I 5)

thermodynamics data base in (CHEMKIN-PRO, 2013) as fol­ lows: Cp.i = a i,i +

a 2,jT + a 3 jT2 +

04

;T3 + asjT 4

(15)

2011): = aa jT 4-

kf

r m \ / 2jtW

, \

/ Ns

^ r = fe/, r ( T T t G^ r )

as.U

3 + ^ T 4 + ^ T 5 + a 6,j

Sf = aa,jlnT + a2, j T + ^ T 2

i T3 + - j l T 4 + a7 j

4

W,0-511fj

D7 = -2.59 x io - 7T°'659

u>n5 >

’ E j = i ( w f 511/j)

[Gils~

(^yOs

(11)

Wj

( 12)

[Sj]s = J’fcj

where [ ]s corresponds the concentration of a species (only gaseous and surface species) at the substrate, and tji r and \j/- f are the rate exponents for the ith gaseous species and the jth surface species, respectively, in the rth surface reaction. Also, Zj is the site coverage of the surface species i and £ T =1z; = 1 where the summation is over all the surface species, including 0 ‘, A1(CH3)2, and Al(CH3)OAl(CH3)*. 3.2.

(17)

3.3.2. Transport properties of gaseous species The thermal diffusion coefficient of each species is calculated from the empirically based composition-dependent expres­ sion, as shown below (Jin and Shaw, 2010):

with rp 1

(16)

The standard state entropy of the ith species is required to calculate the reaction equilibrium constant.

( 10)

/

\i= l

+ ^a 3.i. T

®

where T is the substrate temperature, W is the molecu­ lar weight of gaseous reactant, r is the total surface site concentration, and m is the summation of stoichiometric coefficients of surface species reactants. In this study, r = 2.72 x 10-8 kg mol/m2 for all the surface reactions (CHEMKINPRO, 2013). The molar reaction rate for the rth surface reaction is cal­ culated as follows (ANSYS FLUENT Theory Guide, 2011): / N9

a 2,i.

(18)

. E jia W ? 489/; The binary diffusivity, the viscosity, and the thermal conduc­ tivity of each species are obtained through the correlations in the kinetic theory of gases (McGee, 1991; Bird et al., 2002) as follows: 3.3.2.1. Binary diffusivity.

X

V

10-3j/ T 3

’1 Wj

1 ' Wj PcrjjrtD

(19)

Boundary conditions at the substrate

where The substrate tem peratures are set at250°C and 300 °C. Due to the surface reactions, the net vertical mass flux of the ith gaseous species into the substrate balances with its net consumption/production rate on the substrate, as follows (Kleijn, 2000; ANSYS FLUENT Theory Guide, 2011): (^surf (Jj - MdePyi)s = W; JjK g 'i.r - a'ijWr]

In Eq. (19), Dy is in cm2/s, P is in atm, T is in K, and ay is in angstrom. Also, £?d as the collision integral for diffusion is a function of dimensionless temperature K ^ T /e y with ey = ygjeJ.

Mi = 2.6693 x 10“5

(

21)

Nsurf

MdSp = ^ W i2 [ « r - b ; , r)^r]

3.3.

(2 0 )

33.2.2. Viscosity of the ith species:.

where the mass deposition rate on the substrate is calculated as follows:

i= l

2 ^ ' + °j)

(13)

r= l

Nb

trij =

(14)

r= l

Species transport and thermal properties

In the ALD reactor, transport coefficients and therm al prop­ erties of species are described as temperature-dependent variables. 3.3.1. Thermodynamics properties 0/ gaseous species Specific heat, standard state enthalpy, and standard state entropy of each gaseous species are obtained based on the temperature-dependent polynomial functions available in the

where fq is in g/cm s, Wj is in kg/mol, T is in K, and crj is in angstrom. In addition, 12,, as the collision integral for viscosity is a function of the dimensionless temperature KsT/ej. 3.3.2.3. Thermal conductivity of the ith species:. K; —fi[ C

5 R ‘

P'i + 4W |.

(22)

To use the kinetic theory correlations, the Lennard-Jones parameters as required for each species are obtained from (CHEMKIN-PRO, 2013) and listed in Table 1.

CHEMICAL ENGINEERING RESEARCH AND DESIGN

4.2.

Table 1 - Molecular weights and Lennard-Jones parameters for the gaseous species provided from (CHEMKIN-PRO, 2013). Species

Wj (kg/Kmol)

o-j (Angstrom)

£j/KB (K)

TMA Ar O 02

72.086 39.948 15.999 31.999 47.998 30.070

5.3 3.33 2.75 3.458 4.1 4.302

471 136.5 80 107.4 180 252.3

o3 c2h6

3.3.3. Mixture properties The viscosity and thermal conductivity of the mixture are cal­ culated as follows (Hsueh et al., 2010; Zarvandi et al., 2012): _ V ^N

K =

V

fiP - i

— w

(23)

4.

Numerical procedure

4.1.

Grid and time-step size independence tests

Solution method

The momentum, energy and species transport equations are discretized spatially and temporally by using the second-order upwind and the first order implicit methods, respectively, through the finite volume approach. Also, source terms in the transport equations are linearized. The pressure and velocity components are coupled by the PISO algorithm. PISO algo­ rithm is a robust and recommended procedure for transient flow calculations especially with large time-step sizes (Luo et al., 2013). The solutions are considered to be converged when the residual values are less than 1 x 10~5 for the con­ tinuity, velocity components and temperature, and 1 x 10~4 for the gaseous species at each time-step. The transport equations are solved by using ANSYS FLU­ ENT 14.0. The chemistry is simulated through an advanced chemistry solver CHEMKIN-CFD provided by Reaction Design. The CHEMKIN-CFD is linked to FLUENT to enhance the accuracy and stability of chemistry simulations. Also, a userdefined function (UDF) is developed and loaded in FLUENT to change the inlet boundary conditions at each cycle.

5.

with

[1 + (Wj/Wj)]05

589

(24)

1S j = l j ± ifj&j

[1 + (w /fi/^ W j/W j)a25f

94 (20 I 5) 584-593

(25)

The grid independence tests are performed through steady state CVD of Al20 3 at P = 10torr (1330 Pa), Tin = 300K, Ts = 573 K, Vj„ = 1 m/s j ,/ tma = 0.1, fo3 = 0.3 and/Ar = 0.6 for differ­ ent grid structures with 13,456, 22,020, 31,965, 48,288, 83,940, 102,630, 140,010, 177,390, 225,240 and 259,284 computational cells. A grid with 31,965 cells is appropriate for the simulations since by further increases in the numbers of cells from 31,965, the changes in the magnitudes of deposition rates, heat trans­ fer coefficients, and skin friction coefficients on the substrate remain below 4%. To obtain an independency between the deposition rates and the time-step sizes, a very small time-step size is required that leads to extremely time-consuming com­ putations. Therefore, to save the computational time, an independency between deposition rates and time-step sizes is ignored and a time-step independency is obtained by using a transient, multi-component and non-reactive flow with the same characteristics for the previous CVD process. The tests are performed for 20 s by using the grid with 31965 cells and time-step sizes of 0.1s, 0.05 s, 0.01s, 0.005 s, 0.001s, 0.0005 s, and 0.0001 s. The time-step size of 0.005 s is accurate enough for the simulations since by using smaller time-step sizes, the magnitudes of time-averaged heat transfer coefficients and skin friction coefficients on the substrate remain below 4% and 0.03%, respectively. Therefore, all the simulations are performed by using a grid structure with 31,965 computational cells and a time-step size of 0.005 s.

Validation

The CVD benchmark results provided by Kleijn (2000) are used to validate the accuracy of the present numerical procedure. CVDs of silicon from a mixture of silane and helium in a twodimensional axi-symmetric reactor illustrated in Fig. 2(a) were simulated in (Kleijn, 2000) through a full multi-component transport model, multi-species, and multi-reaction chemistry. In this study, the same boundary conditions and chemistry mechanisms in (Kleijn, 2000) are used and the comparisons of selected results in two studies are shown in Fig. 2. The excel­ lent agreements between the results prove the good accuracy of the numerical procedure in this study.

6.

Results and discussion

The inlet mass flow rates during one cycle are illustrated in Fig. 3. Based on the provided inlet mixture viscosities in section 3.1, a Reynolds number, Re = 4rhin/nDmn, changes between 1 and 18 at the present operating conditions. There­ fore, the flow inside the reactor is perfectly laminar. The argon mass fractions during one cycle are illustrated in Fig. 4. At the beginning of each precursor exposure, the reactor is full with argon from the previous purge. Since the TMA expo­ sure takes for a short time (1 s), still argon remains inside the reactor at the end of TMA injection. Therefore, a short time for the first purge is sufficient to evacuate the reactor from unre­ acted TMA and by-product C2H6. However, since the ozone exposure takes for a much longer time (72 s), argon is com­ pletely removed from the reactor during the ozone injection. As a result, a longer time for the second purge is required to evacuate the reactor from unreacted ozone and by-products O2 , O, and C2H6. In an ALD process, a substrate is saturated with a sur­ face species at the end of each precursor exposure. Based on Fig. 5(a), both substrates are quickly saturated with A1(CH3)J in almost 0.3 s from the TMA injection. Since a TMA expo­ sure includes only one surface reaction, and the reactant is injected directly into the reactors, the substrate is saturated with Al(CH3)2 quickly.

590

CHEMICAL ENGINEERING RESEARCH AND DESIGN 9 4

( 2 O I 5 ) 5 8 4 -5 9 3

R a d ia l c o o r d in a te (m )

Fig. 4 - Argon mass fractions inside the reactor with Ts =300°C during one cycle.

Fig. 2 - (a) Comparisons of total deposition rates in our study and (Kleijn, 2000). (b) Comparisons of axial velocity and temperature profiles in our study and (Kleijn, 2000).

T im e (s)

Fig. 3 - Inlet mass flow rates during one cycle.

However, an ozone exposure includes four reactions with two dependent surface reactions and the most important, oxy­ gen atoms as the reactant for both surface reactions must be generated from ozone decompositions. Therefore, based on Fig. 5(b), a substrate oxidation takes much longer than a substrate saturation at the TMA exposure. The bulk temperature inside the reactor including the hotter substrate is larger than that of the reactor at TS= 250°C. Therefore, gas-phase reactions take place faster inside the reactor with TS= 300°C that result in more ozone

Fig. 5 - (a) Substrate coverage during the TMA exposure in one cycle, (b) Substrate coverage during the ozone exposure in one cycle.

CHEMICAL ENGINEERING RESEARCH AND DESIGN 9 4

591

( 2 O I 5 ) 5 8 4 -5 9 3

Tim e (s)

Time (s)

Time (s)

Fig. 6 - Mass fractions of gaseous species inside the reactors during the ozone exposure for one cycle. decompositions, and more O2 and oxygen atoms productions as illustrated in Fig. 6. More oxygen atoms over the hotter sub­ strate lead to a faster substrate oxidation such that less than 15 s from the ozone exposure, more than 90% of the hotter substrate is oxidized compared with only 50% for the colder substrate at the same time. At the end of the ozone expo­ sure more than 99.910% and 99.983% of the substrates with Ts =250°C and TS= 300°C, respectively, are oxidized. Fig. 7 illustrates the distributions of deposition rates for five ALD cycles. At each substrate temperature, the deposition distributions are the same among all cycles. Steeper slopes of the deposition curves for the hotter substrate indicate earlier film depositions in the result of a faster substrate oxidation. A higher substrate temperature and more oxygen atoms over a substrate result in a larger surface reaction rate constant, and greater [0]s, respectively. Therefore, surface reaction rates and consequent mass deposition rates are larger for the hotter substrate. For this reason, based on Fig. 6, more gaseous C2 H6 produced from the surface reactions is generated inside the reactor with the hotter substrate.

2.75E-07 2.67E-07 2.39E-07 2.21E-07 2.03E-07 1.85E-07

z

1.66E-07 1.48E-07 1.30E-07 1.12E-07

Kg/m2.s

(i)

Kg/m2.s

(ii)

Kg/m2.s

(iii)

Fig. 8 - Contours of deposition rates at Ts = 300 °C. (i): 1 s after ozone injection, (ii): 10 s after ozone injection, (iii): 70 s after ozone injection.

592

CHEMICAL ENGINEERING RESEARCH AND DESIGN

Contours of deposition rates at different tim es for Ts = 300 °C are show n in Fig. 8 . In an ALD process, depositions start from th e area covered by A1(CH3)2 in the vicinity of oxy­ gen atom s. At the beginning of th e ozone exposure, films are deposited at the leading edge of a substrate th a t is th e clos­ est area to th e reactor inlet; therefore, deposition rates are the

(2 0 1

5) 584-593

highest at the leading edge. W hen som e parts of the leading edge are oxidized, the m axim um deposition rates are shifted to the next area w ith th e highest density of Al(CH3 )2 . The shifting of the m axim um deposition rates continues until all Al(CH3 )J on the substrate are consum ed or, in other words, the w hole substrate is oxidized. Similar distributions of deposition rates am ong all cycles at a fixed substrate tem perature resu lt in an identical film thickness at each cycle. Therefore, th e desired film thick­ ness can be controlled only by th e num bers of ALD cycles. Based on Fig. 9, AI2 O3 growth rates of 3.78 angstrom /cycle and 4.52 angstrom /cycle are obtained for Ts = 250 °C and Ts = 300 °C, respectively. Films grow sharply from th e beginning of an ozone exposure and reach a plateau until the en d of a cycle th a t corresponds to the consum ption of alm ost all Al(CH3)2 on th e substrate. Therefore, th e assigned length for the ozone exposure in th is study is long enough to deliver a sufficiently oxidized substrate. However, since th e substrates are n o t fully oxidized at the end of th e ozone exposures, still AI2 O3 films are deposited a t th e beginnings of th e second purges (shown as th e sm all bum ps in Fig. 7) due to the oxygen atom s rem aining inside th e reactors. But, based on Fig. 10, AI2 O3 growth rates at th e second purges are less th an 2.3 x 10- 4 angstrom /cycle and 2.8 x 10~ 2 angstrom /cycle for TS= 250°C and TS= 300°C, respectively. Therefore, the deposition rates at th e second purges for both substrate tem peratures are negligible.

7.

Fig. 10 - (a) AI2O3 film thicknesses at the second purges for Ts = 250°C. (b) AI2O3 film thicknesses at the second purges for Ts =300°C.

94

Conclusions

A validated num erical model to sim ulate an ALD process in a reactor scale is presented. The sim ulation process is specified by depositions of AI2 O3 for five ALD cycles by using TMA and ozone as the m etal source and th e oxidant, respectively. An in e rt argon is used as th e purge gas an d sim ulations are per­ form ed for an operating pressure of lO torr (1330 Pa) and two substrate tem peratures of 250 °C and 300 °C. Substrates w ith different tem peratures are saturated quickly an d alm ost a t th e sam e tim e w ith Al(CH3)2 at the TMA exposure w hile substrate oxidations take m uch longer due to existence of four reactions, d ependent surface reactions, and generations of oxygen atom s from ozone decom positions at th e ozone exposure. A higher bulk tem perature inside th e reactor w ith TS= 300°C results in faster ozone decom positions and more oxygen atom s productions. Therefore, oxidations and film depositions take place m ore quickly on the h o tter substrate. Moreover, deposition rates are higher for th e h o tter substrate due to b oth the larger surface reaction rate co n stan t and the greater concentrations of oxygen atom s at the substrate. At a fixed substrate tem perature, distributions of deposi­ tion rates are th e sam e am ong all cycles; therefore, th e desired film thickness can be controlled only by the num ber of depo­ sition cycles. AI2 O3 growth rates of 3.78 angstrom /cycle and 4.52 angstrom /cycle are obtained for the substrate tem pera­ tures of 250 °C and 300 °C, respectively. Also, plateaus in film growths indicate a long enough tim e for the ozone injection in this study to deliver a sufficiently oxidized substrate. Film depositions at the second purges are negligible since growth rates are less th a n 2.3 x 10~4 angstrom /cycle and 2.8 x 10- 2 angstrom /cycle for Ts = 250 °C an d Ts=300 °C, respectively, during th e second purges.

CHEMICAL ENGINEERING RESEARCH AND DESIGN 9 4

Acknowledgments F inancial su p p o rt from th e N atio n al Science F o u n d atio n (CMMI-1200940) is gratefully acknow ledged. Also, th e a u th o rs are th a n k fu l for Dr. C hris R. Kleijn a t D elft U niversity o f Tech­ nology, T he N e th erlan d s, for h is u sefu l c o m m e n ts a s w ell as p rovid ing th e raw b e n c h m a rk d a ta for v a lid atio n o f o u r n u m e r­ ical procedure.

References Adomaitis, R.A., 2010. Development of a m ultiscale m odel for an atom ic layer deposition process. J. Cryst. Growth 312, 1449-1452. 2011. ANSYS FLUENT Theory Guide, Release 14.0. ANSYS, Inc. Bird, R.B., Stewart, W.E., Lightfoot, E.N., 2002. Transport Phenom ena, 2nd ed. John Wiley & Sons, New York. 2011. CHEMKIN-CFD for FLUENT Module 20112. Reaction Design, San Diego. 2013. CHEMKIN-PRO 15131. Reaction Design, San Diego. Chen, C.J., Hung, C.I., Chen, W.H., 2012. N umerical investigation on perform ance of coal gasification under various injection p attern s in an entrained flow gasifier. Appl. Energy 100, 218-228. Dendooven, J., Deduytsche, D., Musschoot, J., Vanmeirhaeghe, R.L., Detavernier, C., 2009. Modeling th e conform ality of atom ic layer deposition: th e effect of sticking probability. J. Electrochem. Soc. 155, 63-67. Elam, J.W., Groner, M.D., George, S.M., 2002. Viscous flow reactor w ith quartz crystal microbalance for th in film growth by atom ic layer deposition. Rev. Sci. Instrum . 73, 2981-2987. Gharamaleki, Y.S., Shams, M., 2011. N umerical investigation of conductance of porous m edia in high vacuum systems. Vacuum 86, 311-317. Gobbert, M.K., Prasad, V., Cale, T.S., 2002. Modeling and sim ulation of atom ic layer deposition at th e feature scale. J. Vac. Sci. Technol. B 20,1031-1043. Gobbert, M.K., Webster, S.G., Cale, T.S., 2007. A Galerkin m ethod for th e sim ulation of th e tran sien t 2-D/2-D and 3-D/3-D linear Boltzmann equation. J. Sci. Comput. 30, 237-273. Hashemi, Z., Abouali, O., Kamali, R., 2013. Therm al three-dim ensional Lattice Boltzmann sim ulations of suspended solid particles in m icrochannels. Int. J. Heat Mass Transfer 65,235-243. Hsueh, C.Y., Chu, H.S., Yan, W.M., Chen, C.H., 2010. Transport phenom ena and perform ance of a plate m ethanol steam m icro-reform er w ith serpentine flow field design. Appl. Energy 87, 3137-3147. Hu, Z., Shi, J., Uirner, C.H., 2009. Molecular dynam ics sim ulation of th e AI2O3 film structure during atom ic layer deposition. Mol. Simul. 35, 270-279.

( 2 O I 5 ) 5 8 4 -5 9 3

593

Jin, Y., Shaw, B.D., 2010. Com putational m odeling of n-heptane droplet com bustion in air-diluent environm ents u n d er reduced-gravity. Int. J. H eat Mass Transfer 53, 5782-5791. Ratamreddy, R., Inm an, R., Jursich, G., Soulet, A., Takoudis, C., 2006. ALD and characterization of alum inum oxide deposited on Si(100) using tris(diethylam ino) alum inum an d w ater vapor. J. Electrochem. Soc. 153, C701-C706. Kim, J.B., Kwon, D.R., Chakrabarti, K„ Lee, C., Oh, K.Y., Lee, J.H., 2002. Im provem ent in AI2O3 dielectric behavior by using ozone as an oxidant for th e atom ic layer deposition technique. J. Appl. Phys. 92, 6739-6742. Kim, C.R., Lee, J.Y., Heo, J.H., Shin, C.M., Lee, T.M., Park, J.H., Ryu, H., Chang, J.H., Son, C.S., 2010. Effects of annealing tem perature and AI2O3 buffer layer on ZnO th in films grown by atom ic layer deposition. Curr. Appl. Phys 10, S298-S301. Kleijn, C.R., 2000. Com putational m odeling o f transport phenom ena and detailed chem istry in chem ical vapor deposition-a benchm ark solution. Thin Solid Films 365, 294-306. Luo, F., Xu, R.N., Jiang, P.X., 2013. N um erical investigation of the influence of vertical perm eability heterogeneity in stratified form ation and of injection/production well perforation placem ent on CO2 geological storage w ith enhanced CH4 recovery. Appl. Energy 102,1314-1323. McGee, H.A., 1991. Molecular Engineering. McGraw-Hill, New York. Perkins, G., Sahajwalla, V., 2007. Modelling of h e a t and m ass transport phenom ena and chem ical reaction in underground coal gasification. Chem. Eng. Res. Des. 85, 329-343. Ritala, M., Leskela, M., 2002. Atomic layer deposition. In: Nalwa, H.S. (Ed.), Handbook of Thin Film Materials. Academic Press, pp. 103-159. Schuisky, M., Elam, J.W., George, S.M., 2002. In situ resistivity m easurem ents during th e atom ic layer deposition of ZnO and W thin films. Appl. Phys. Lett. 81,180-182. Tamm, A., Dimri, M.C., Kozlova, J., Aidla, A., Tatte, T., Arroval, T., Maeorg, U., Mandar, H., Stern, R., Kukli, K., 2012. Atomic layer deposition of ferrom agnetic iron oxide films on three-dim ensional substrates w ith tin oxide nanoparticles. J. Cryst. Growth 343, 21-27. Wind, R.A., George, S.M., 2010. Quartz crystal m icrobalance studies of AI2O3 atom ic layer deposition using trim ethylalum inum and w ater at 125 °C. J. Phys. Chem. A 114, 1281-1289. Woudberg, S., Du Plessis, J.P., 2008. Predicting th e perm eability of very low porosity sandstones. Transp. Porous Med. 73, 39-55. Zarvandi, J., Tfibejamaat, S., Baigmohammadi, M., 2012. N umerical study of th e effects of h e a t transfer m ethods on CH4/(CH4 + H2)-AIR pre-m ixed flam es in a m icro-stepped tube. Energy 44, 396-409.

Copyright of Chemical Engineering Research & Design: Transactions of the Institution of Chemical Engineers Part A is the property of Elsevier Science and its content may not be copied or emailed to multiple sites or posted to a listserv without the copyright holder's express written permission. However, users may print, download, or email articles for individual use.