Block Copolymer Thin Films on Patterned Substrates

Block Copolymer Thin Films on Patterned Substrates

7.12 Block Copolymer Thin Films on Patterned Substrates JY Cheng, H-C Kim, and JW Pitera, IBM Almaden Research Center, San Jose, CA, USA © 2012 Else...

6MB Sizes 0 Downloads 152 Views

7.12

Block Copolymer Thin Films on Patterned Substrates

JY Cheng, H-C Kim, and JW Pitera, IBM Almaden Research Center, San Jose, CA, USA © 2012 Elsevier B.V. All rights reserved.

7.12.1 7.12.2 7.12.2.1 7.12.2.2 7.12.3 7.12.3.1 7.12.3.2 7.12.4 7.12.4.1 7.12.4.2 7.12.4.3 7.12.4.4 7.12.5 7.12.5.1 7.12.5.2 References

Introduction Block Copolymer Thin films on Topographical Prepatterns Self-Assembly of Block Copolymers on the Homogeneous Topographical Surface Self-Assembly of Block Copolymers on a Heterogeneous Topographical Surface Block Copolymer Thin Films on Chemical Prepatterns Self-Assembly of Block Copolymers on Dense Chemical Patterns Self-Assembly of Block Copolymers on Sparse Chemical Patterns Theory and Simulation of Block Copolymer Thin Films on Patterned Substrates Overview of Methods Representation of Surface and Surface Model Simulation of Block Copolymer Thin Films on Topographical Patterns Simulation of Block Copolymer Thin Films on Chemical Patterns Future Issues for Block Copolymer Thin Films on Pattern Substrates Issues in Materials and DSA Processes Issues in Simulations

7.12.1 Introduction Self-assembled block copolymers are building blocks of various advanced nanotechnologies based on ‘bottom-up’ fabrication methods. Self-organizing materials provide simple and low-cost processes to make large-area periodic nanostructures. On the other hand, ‘top-down’ lithographic approaches offer arbitrary geometries and superior nanometer-level precision and accuracy. By combining ‘bottom-up’ self-assembly with ‘top-down’ patterned templates, directed self-assembly (DSA) provides rich opportunities for both fundamental studies of self-assembly behavior on a predetermined substrate and inno­ vative new nanofabrication methods. Block copolymers are heteropolymers with extended regions or ‘blocks’ made from chemically distinct monomers. Under appropriate conditions, the chemically distinct blocks microphase separate into nanoscale periodic domains on a length scale defined by the molecular architecture of the polymer. In the generic case, the distinct species forming the polymer are labeled alphabetically ‘A’ and ‘B’ for a diblock, ‘A’, ‘B’, and ‘C’ for a triblock, and so on. Over the past 15 years, thin films of block copolymer have attracted intense interest because of the potential to create periodic nanostructures on the substrate.1–5 At the same time, many topographically and/or chemically patterned substrates defined by optical lithography, extreme ultraviolet (EUV) lithography, and electron-beam lithography have been employed to investigate and manipulate the self-assembly behavior of block copolymer thin films.6–11 Early research demonstrated that the orientation and degree of ordering of block copolymer thin films could be controlled by the patterned substrate.12,13 Two major directing methods to guide self-assembly in block copolymer thin films are graphoepitaxy and chemical epitaxy (Figure 1). In the graphoe­ pitaxy method, self-assembly is guided by the topographical features of lithographically prepatterned substrates. For example, a trench with A-selective sidewall and neutral bottom attracts A domains in a lamellae-forming A–B diblock copolymer to the Polymer Science: A Comprehensive Reference, Volume 7

233 234 234 237 238 239 241 242 243 243 245 246 247 247 247 248

sidewall and supports vertical orientation of both A and B domains. The sidewall affinity and confinement of the trench drives the self-assembled lamellar domains to form parallel line–space patterns in topographical prepatterns, thereby increasing pattern resolution by subdividing the topographical pattern. In the chemical epitaxy method, self-assembly is guided by chemical patterns created on the substrate by some orthogo­ nal patterning method, such as optical lithography. The relative affinity between the chemical patterns with alternating surface chemistry and the block copolymer domains results in the pre­ cise placement of block copolymer domains. An attractive pinning region selectively anchors one domain and drives the self-assembled domains to register to the underlying chemical patterns, generating grain alignment and large-area pattern uni­ formity. Chemical patterns can be made with either purely selective pinning regions or a mix of selective and neutral regions. Neutral regions can be coupled with sparse patterning of pinning regions to support perpendicular orientations of target phases. With proper design of a patterned substrate, DSA of block copolymers combines the advantages of both ‘bottom-up’ self-assembly and ‘top-down’ lithography, and provides a route to generate sub-lithographic features with precise place­ ment and well-defined dimensions. The potential applications of DSA in nanofabrication and the interesting and complicated materials science of DSA behavior have driven advancement in both experiments and simulation. This chapter of current research on DSA of block copolymers is organized into four sections, including this introduction (Section 7.12.1). Section 7.12.2 focuses on experiments studying block copolymer thin films on various topographical patterns. Section 7.12.3 reviews experimental studies of block copoly­ mers on chemical patterns. Simulation methodology and simulation results for both topographical and chemical patterns are discussed in Section 7.12.4. In Section 7.12.5, we provide an outlook toward the future research topics and potential applications of DSA of block copolymers.

doi:10.1016/B978-0-444-53349-4.00190-4

233

234

Block Copolymer Thin Films on Patterned Substrates

(a)

Graphoepitaxy

(b)

based on topographical prepattern

Chemical epitaxy based on sparse chemical prepattern

Hard mask

Neutral Pinning DSA

DSA A

A

B

B

Figure 1 Schematic illustration of the two major approaches of directed self-assembly of block copolymers. (a) Graphoepitaxy: the self-assembly of block copolymers is guided by topography and possibly also surface chemistry. (b) Chemical epitaxy: spatial variation of surface chemistry directs the self-assembly of block copolymers. In both cases, the hard mask or pinning region has high affinity to A domains, while neutral surface provides vertically oriented A and B domains. Such affinity drives the self-alignment of polymer domains to the topographical and chemical patterns.

7.12.2 Block Copolymer Thin films on Topographical Prepatterns The behavior of thin films of polymers on rough surfaces has been of great interest from both scientific and technological points of view. In particular, the ordering behavior of block copolymers on substrates with controlled topography has attracted enormous attention since it could potentially provide a way to control the ordering and placement of microdomains. While the effect of randomly rough surfaces has been investi­ gated with focus on control of the orientation of microdomains perpendicular to the surface of substrates,14 well-engineered topographical patterns, such as lithographically prepared pat­ terns, are used for most studies to control the lateral placement of microdomains. Since the pioneering work of Segalman et al.,13 which demonstrated long-range ordering of spherical microdomains on a topographically patterned substrate, numerous graphoepitaxial approaches have been extensively explored. In general, the graphoepitaxial approach is based on the desire to obtain a single crystal-like ordering of microdomains (i.e., long-range order with a single crystalline grain). However, efforts to control localized ordering have been pur­ sued as well since device fabrication often requires a few well-defined patterns at very specific locations on the substrate. Generally speaking, both global (long-range) and localized ordering of microdomains are known to be critically influenced by several experimental parameters, including geometry and dimension of the topographical patterns, morphology of the microdomains, surface energy of substrates, and polymer film thickness.

7.12.2.1 Self-Assembly of Block Copolymers on the Homogeneous Topographical Surface Partly due to the simplicity of preparation, early work on graphoepitaxial ordering of block copolymers used topogra­ phical patterns with an energetically homogeneous surface. The most popular material for topographical substrates is sili­ con covered with native oxide. A variety of topographical patterns can be generated using simple lithographic patterning followed by plasma etching. The native oxide layer of a silicon wafer is not energetically neutral to the blocks of most block copolymers, and causes selective wetting of one block to the

substrate surface. For example, the poly(methyl methacrylate) (PMMA) block of polystyrene-b-poly(methyl methacrylate) (PS-b-PMMA) or poly(vinyl pyridine) (PVP) block of polystyrene-b-poly(vinyl pyridine) (PS-b-PVP) wets the native oxide surface of silicon wafer. This selective wetting of one block to the surface of substrate results in formation of brush layers consisting of a single layer of block copolymer mole­ cules. Spherical or cylindrical microdomains oriented parallel to the surface can order on top of the brush layer and provide nanoscopic dot or line patterns, respectively. As demonstrated by Segalman et al.13 using a single layer of spherical microdomains of polystyrene-b-poly(2-vinyl pyridine), a topographically pat­ terned substrate remarkably improves the long-range order of microdomains and provides almost single crystal-like lateral ordering over 5-μm-wide mesas. An interesting study on the energetics of confined arrays of spherical microdomains within topographical patterns has been reported by Cheng et al.,15 using a polystyrene-b-poly(ferrocenyl dimethylsilane) (PS-b-PFS). Figure 2(a) shows their results of systematic investigation of spherical microdomains in grooves with controlled width. A plot of the number of rows in the groove (N) versus confinement width (W) in Figure 2(b) shows the widths at which arrays with N rows are stable. A model for the system free energy was developed and is plotted against W in Figure 2(c), which indicates that the confined block copolymer system within a given W will ideally select the value of N with the lowest free energy (Fc) that is presented relative to the free energy of the bulk block copolymer (F0). Transitions from N to N + 1 occur when W ≈ (N + 0.5)d (where d is the equilibrium row spacing), which is in good agreement with their experimental data. Similar trench-width dependence has been found in confined cylinders and lamellae.16,17 A more aggressive approach to use topographical graphoe­ pitaxy to control both local and global ordering of microdomains was reported recently by Bita et al.18 While previous approaches used linear substrate steps or grooves of large scales (typically micrometers), this work involved discrete posts distributed over the substrate which provide a set of periodic constraints for microdomains. The surface of the sub­ strate is patterned with a sparse two-dimensional (2D) lattice of nanoscale posts using electron-beam lithography and the surface is chemically modified by a monolayer of short-chain polydimethylsiloxane (PDMS). As shown in Figure 3, the nanoscale posts act as surrogate spherical microdomains of

Block Copolymer Thin Films on Patterned Substrates

(a)

2

(b) 13 12 11 10 9 8 7 6 5 4 3 2 1

9

Number of rows

3 4 10

5

235

6 11 7

1

2

3

4

5 6 7 8 9 10 11 12 13 Confinement width (d )

1

2

3

4

5 6 7 8 9 10 11 12 13 Confinement width (d )

(c)

12 8

1.02 Fc /F0

200 nm

1.01

1.00

Figure 2 (a) Plan-view scanning electron microscope (SEM) micrographs of ordered arrays of PS-b-PFS domains with N = 2–12 rows. (b) The number of rows in the groove, N, plotted against confinement width, W, showing the widths at which arrays with N rows are stable. The confinement width is expressed in terms of d, the equilibrium row spacing. The open circles indicate the accessible states if a small energy fluctuation of 0.01 kT per chain is available to the system. (c) Energy against confinement width of block–copolymer system. The free energy of the confined block copolymer (Fc) is presented relative to the free energy of the bulk block copolymer (F0).

(a)

(b)

(c)

(d)

PS PDMS HSQ nanopost

PDMS brush

200 nm

200 nm

200 nm

Figure 3 (a) Schematic illustration of the use of hydrogen silsesquioxane (HSQ) nanoposts to provide long-range order for a PS-b-PDMS film. (b) SEM micrograph of a poorly ordered monolayer of spherical domains formed on a flat surface. (c and d) SEM images of ordered block copolymer spheres formed within a sparse 2D lattice posts (brighter dots) prepared by electron-beam (e-beam) lithography.

polystyrene-b-polydimethylsiloxane (PS-b-PDMS) and provide periodic nanostructures with long-range order. The energetics of PDMS domains are a function of commensurability; therefore, the orientation of ‘crystal plane’ of 2D PDMS domains can be tuned by the spatial arrangement of posts. Self-assembled patterns with high complexity such as four-way junctions and serpentine patterns can be achieved by self-assembling cylinder-forming PS-b-PDMS on the 2D post patterns.19 Graphoepitaxial ordering of cylindrical microdomains has been explored for both parallel and perpendicular orientations to the surface. Sundrani et al.20 reported the alignment of PS

cylindrical microdomains oriented parallel to the surface of patterned silicon nitride substrates using polystyrene-b-poly (ethylene-alt-propylene) (PS-b-PEP). As schematically shown in Figure 4, they observed that aligned PS domains were confined to the channels or expanded across the grating depending on the depth of the topographical patterns and the amount of deposited polymer. The ratio of block copolymer films thick­ ness to the depth of topographical patterns of substrates is an important parameter for controlling ordering of microdomains and will be discussed more below. One appeal of extended structures such as parallel cylinders or lamellae is that they

236

Block Copolymer Thin Films on Patterned Substrates

Polymer deposition & anneal (a) Disordered cylindrical domains on flat substrate

Flat Si3N4 substrate

e-beam lithography & reactive ion etching

(b) Thin polymer deposition & anneal Alignment in channels on grating substrate

h ug tro

cr e

st

(c)

(d)

Si3N4 grating Thick polymer deposition & anneal Alignment in and above channels on grating substrate Figure 4 Schematic illustration of ordering parallel arrays of cylindrical domains. (a) Disordered domains on a flat substrate. (b) Grating patterns prepared by electron-beam (e-beam) lithography and reactive ion etching. (c) Ordering of confined block copolymers in the troughs. (d) Alignment of microdomains above and beyond the confined volumes of the troughs.

can be used to pattern wirelike structures in device fabrication. Black21 used a combination of PS-b-PMMA cylinders and trench topography to fabricate silicon nanowire transistors. Jung et al.22 used guided PS-b-PDMS cylinders to pattern nano­ wires of conducting polymers for a gas sensor application. More recently, Park et al.23 reported an approach to obtain long-range order of cylindrical microdomains oriented perpen­ dicular to a surface, which is schematically illustrated in Figure 5. They used the natural sawtooth patterns of M-plane sapphire annealed at high temperature (e.g., 1300–1500 °C) as a substrate to obtain highly ordered arrays perpendicular cylin­ ders of polystyrene-b-poly(ethylene oxide) (PS-b-PEO) and PS-b-PVP using solvent vapor annealing. The facets of substrate, which have amplitude variations (typically 3–6 nm) far smaller than the thickness of block copolymer films, remarkably improve the lateral ordering of cylindrical microdomains with­ out lateral confinement or distortion of the microdomain period. The ordering of lamellar microdomains of symmetric diblock copolymers on topographical patterns has been exten­ sively explored as well. Lamellar microdomains generally orient parallel to the surface of substrates when selective wet­ ting of one block occurs either at the substrate or vapor interface. As mentioned earlier, the thickness of the polymer film is known to be a critical parameter governing the ordering behavior, particularly in symmetric block copolymers. For example, on a flat substrate, a film thickness incommensurate with the natural periodicity (L0) of the block copolymer results in formation of macroscopic islands, terraces, and holes on the surface. Topographical patterns on substrates effectively pro­ vide a controlled variation of film thickness, which results in laterally controlled nanoscopic patterns. Fasolka et al.24

(a)

Miscut single crystal

Thermal annealing

(d)

Guided BUP array (e)

¯ 101) (1 ¯102) (1

(b)

Sawtooth patten

(c)

Solvent annealing

Spin-coated BCP film (f)

Figure 5 (a) M-plane sapphire. (b) Sawtooth patterns from the facets of sapphire after thermal treatment. (c) Deposition of thin films of block copolymer. (d) Highly ordered cylindrical microdomains oriented normal to the surface after solvent vapor annealing. (e and f) Atomic force microscopy (AFM) images of the block copolymer surface with thickness of (e) 24 nm and (f) 34 nm on a surface with facets having pitches of 130 and 100 nm with amplitudes of 14 and 10 nm. Scale bars, 200 nm.

Block Copolymer Thin Films on Patterned Substrates

(a)

(b)

(c)

t≈L0/2

t
(d)

Figure 6 AFM images (a) of diblock copolymer film morphology on corrugated substrates. The diagrams (b–d) illustrate why the lateral patterning occurs.

reported that local variations in film thickness caused the formation of nanoscopic patterns using polystyrene-b-poly (n-butyl methacrylate) (PS-b-PnBMA) as shown in Figure 6. They observed uniformly sized lateral domains are located on top of the ridges when PS-b-PnBMA films in the thickness regime below half of the L0 were prepared on substrates with surface corrugation. Thermodynamically, chains forming a half-lamellar structure at thickness < L0/2 will have less avail­ able configurations than when thickness is L0/2, thus the lamellae might adopt a perpendicular orientation to increase

the configurational entropy. Their observations suggest a sim­ ple scheme for the lateral nanometer scale patterning of diblock copolymer films. Lateral ordering of lamellar microdomains in PS-b-PMMA on topographical patterns where the homogeneous surface energy was controlled to be neutral was investigated by Park et al.25 A surface of corrugated silicon wafer was modified by tethering a random copolymer brush layer of PS-r-PMMA. They observed the alignment of lamellar microdomains perpendicu­ lar to the direction of surface corrugation when the film thickness of PS-b-PMMA was close to the depth of the topo­ graphical patterns. While a theoretical argument based on the energy cost of chain bending has been developed to explain this type of ordering,26 this experimental work clearly illu­ strated the effect of both film thickness and corrugation period on the ordering of lamellar microdomains, as shown in Figure 7. Confinement of block copolymers in a trench where both the bottom and sidewalls of the trench are energetically neutral results in alignment of lamellar microdomains perpendicular to the sidewalls. Recent work of Park et al.27 showed the exten­ sion of the graphoepitaxial approach to multilevel topographical patterns, which provide control of both alignment and registra­ tion of lamellar microdomains. Figure 8 shows their results using a lamellar phase PS-b-PMMA, where the alignment of lamellae is controlled to be perpendicular to the sidewalls of parallel aligning patterns and registration is achieved by the recess at the end of the aligning patterns. This approach demon­ strates that more complex multilevel topographical patterns promise additional control over ordering microdomains.

7.12.2.2 Self-Assembly of Block Copolymers on a Heterogeneous Topographical Surface Topographical patterns containing chemical heterogeneity have been used to control the ordering of microdomains of block copolymers as well. Typically, while the bottom surface is

Rc = 26.66 forient = –0.04

Rc = 59.99 forient = 0.08

Rc = 10.78 forient = –0.10

Rc = 24.25 forient = –0.25

t/h = 2.11

Rc = 6.66 forient = 0.13

237

200 nm

t/h = 0.85

Rc = 2.69 forient = 0.15

Figure 7 Plan-view SEM micrographs of PS-b-PMMA deposited on top of corrugated substrates. t /h is thickness ratio of the block copolymer to the depth of corrugation. Rc and forient are the maximal radius of curvature of the corrugated surface and Herman’s orientation function, respectively.

238

Block Copolymer Thin Films on Patterned Substrates

a

Bottom substrate

Edge of pinning patterns

200 nm

Aligning pattern

Aligning pattern

Edge of pinning patterns

b

200 nm

Figure 8 (a) Plan-view and (b) tilted-view SEM micrographs of the lamellar microdomains assembled on the two levels of topographical guiding patterns. The scheme below (a) shows cross-sectional profile.

treated to be neutral in order to obtain perpendicular orienta­ tion of cylindrical or lamellar microdomains, sidewalls are tuned as selective to one block. Under this condition, lamellar microdomains, for example, align parallel to the sidewalls of topographical patterns. Otherwise, an orientation perpendicu­ lar to neutral walls is preferred. As the microdomains order along the sidewalls of topographical patterns, the quality of the sidewall pattern (such as edge roughness) directly influences the ordering behavior of microdomains.28 Less defective order­ ing takes place close to sidewalls and more defects are generated with increasing distance from the sidewalls. Effort to reduce the defects has been explored by modifying the geometry of topographical guiding patterns. Ruiz et al.17 used a tapered trench design to control local defectivity of lamellar microdomains of PS-b-PMMA, illustrated in Figure 9. By engi­ neering the geometry of topographical channels, they created

b

Random copolymer Substrate

2

Si

Si

a

c

1

2

d 7Lo

4Lo

100 nm

e

100 nm

f 6Lo

10Lo

100 nm

100 nm

Figure 9 (a) Schematic representation of a trench cross section prior to polymer deposition, taken along the dashed line shown in (b). (b) Schematic representation of a fabricated silicon channel. (c)–(f) SEM micrographs of trench width ranging from 4L0 to 10L0.

defect-free areas in channels with widths up to 20 times the natural period of the block copolymer and as long as 5 μm. To prepare topographical patterns with heterogeneous sur­ face energy, however, generally requires more process steps and more careful processing. This is typically due to the need to maintain the bottom surface as neutral while creating topogra­ phical patterns thereon. However, this processing challenge is unavoidable for some applications, such as fabrication of loca­ lized contact holes that require confined cylindrical microdomains oriented perpendicular to the surface. Several research groups have been exploring the ordering behavior and application to device fabrication of cylindrical microdomains using topographical patterns with heterogeneous surface ener­ gies. Based on the graphoepitaxy of PS-b-PMMA in a trench, Chang et al.29 fabricated top-gated field-effect transistors (FETs) and complementary metal oxide semiconductor (CMOS) inverter circuits with �20 nm contact holes. In summary, topographical patterns have been used as a simple and effective route for controlling the order of block copolymer microdomains. The relative thickness of copolymer films to the depth of topographical patterns, morphology of microdomains, and geometry and surface energy of topogra­ phical patterns are all known as crucial parameters governing the ordering behavior. A key challenge for graphoepitaxy is the quality of the surface pattern, both in terms of geometry and surface energy, since variations of the confining walls are read­ ily reproduced by the polymer microdomains. Along with practical applications of graphoepitaxial approach, more scien­ tific excitement is expected in this rich research field.

7.12.3 Block Copolymer Thin Films on Chemical Prepatterns In the chemical epitaxy method, the self-assembly of block copolymers is guided by lithographically determined chemical prepatterns. The affinity between chemical prepattern regions and block copolymer components drives the spatial arrange­ ment of the self-assembled domains. In early studies, dense chemical prepatterns (LS � L0) with the comparable periodicity, LS, to the block copolymer domain spacing, L0, were used to investigate the balance of interactions between the block copolymer, surface chemistry, and pattern dimensions (Figure 10). A robust understanding of chemical epitaxy of block copoly­ mers has been developed over the years using these well-defined dense chemical prepatterns. Section 7.12.3.1 reviews this background and other important aspects of chemi­ cal epitaxy. Recently, sparse chemical prepatterns (LS>L0) with larger periodicity compared to the block copolymer domains were used to guide the self-assembly of block copolymers (Figure 10). The combination of a sparse chemical prepattern and block copolymers provides opportunities to generate self-assembled patterns with high spatial frequency and better pattern quality from chemical prepatterns that have lower spa­ tial frequency (‘frequency multiplication’) and poorer pattern quality (‘pattern rectification’). With these capabilities, chemi­ cal epitaxy on a sparse chemical pattern has been used to extend the resolution limit of lithography and improve throughput for large-area patterns. Section 7.12.3.2 discusses examples of chemical epitaxy on sparse chemical patterns and

Block Copolymer Thin Films on Patterned Substrates

Chemical epitaxy on dense chemical patterns L s ~ L0 Ls

Chemical epitaxy on sparse chemical patterns Ls = 2L0 NeutralPinning stripe stripe LN L P Ls = LP + LN

Coating block copolymers L0

Coating block copolymers

a

PMMA

239

PS L0 / 2 Ls / 2 Au

Sio2

b

L0/Ls = 0.61

e

L0/Ls = 0.99

c

L0/Ls = 0.74

f

L0/Ls = 1.4

d

L0 / Ls = 0.78

g

L0 / Ls = 2.05

Sio2

Au

PBCP Ls = 2L0 Etch

Etch

Figure 10 Schematics of directed self-assembly of block copolymers with a natural period of PBCP on chemical patterns. (a) Chemical epitaxy based on dense chemical patterns of alternating preferential wetting stripe with a pitch of patterned substrate (LS) close to L0. The affinity between chemical patterns and block copolymer domains drives the self-alignment of block copolymer. (b) Sparse chemical patterns composed of alternating pinning stripes (width = 0.5L0) and neutral stripes (width = LN = LS – L0) with a pitch which is twice the pitch of block copolymers (LS/L0 � 2). The self-assembled block copolymer doubles the spatial frequency of the underlying guiding chemical patterns. One domain of the block copoly­ mers is selectively removed to show the self-assembled line–space patterns.

their applications in magnetic bit patterned media and extend­ ing the resolution of optical lithography.

7.12.3.1 Self-Assembly of Block Copolymers on Dense Chemical Patterns Thin films of block copolymers were introduced to chemical patterns by Rockford et al. in 1999.12 A layer of PS-b-PMMA was dip-coated onto chemically heterogeneous substrates com­ posed of alternating silicon dioxide and gold stripes. PMMA is selectively pinned by the oxide stripes and PS has higher affinity to the gold stripes. Perpendicularly oriented PS-b-PMMA lamel­ lae form with the highest in-plane order parameter on the patterned substrates when the lamellar period L0 matches the substrate stripe period LS. The defect density increases with the mismatch between LS and L0 as shown in Figure 11. The perpendicular orientation is maintained until the mismatch between L0 and LS is more than 40% in a thinner film; however, in a thicker film (> 500 nm), even a 10% mismatch causes a loss of perpendicular orientation. Similar results were reported by Yang et al.30,31 using chemical patterns made by an electron­ beam-modified self-assembled monolayer. A chemical pattern with precise surface chemistry and well-defined lateral dimension is a necessary platform to further advance the understanding of the self-assembly of block copo­ lymer on the chemical patterns as well as improve the self-assembly quality. Nealey and his group developed a techni­ que to generate chemical prepatterns based on a lithographically defined resist structure and subsequent plasma surface modifica­ tion. For example, a well-defined chemical prepattern was accomplished by first grafting PS-r-PMMA brushes to a silicon substrate, covering it with an EUV resist (PMMA), and then creating a stripe pattern in the photoresist by EUV interference

500 nm Figure 11 (a) Illustration of epitaxial behavior between PS-b-PMMA and chemically patterned substrates. The PS block and the PMMA block preferentially wet the gold and the oxide surface, respectively. (b–g) AFM phase-contrast images of the free surface of PS-b-PMMA films for a range of molecular weights, solution cast on a gold/oxide heterogeneous sub­ strate with LS = 60 nm. L0/LS is: (b) 0.61, (c) 0.74, (d) 0.78, (e) 0.99, (f) 1.4, and (g) 2.05.

lithography. Next, the exposed regions of polymer brush were removed by an oxygen plasma etch and the remaining photo­ resist removed by solvent, leaving stripes of neutral brush serving as PS-wetting stripe and a hydrophilic (oxide) surface serving as PMMA-wetting stripes. Kim et al.32 demonstrated large-area, defect-free, well-registered perpendicularly oriented PS-b-PMMA domains on these well-defined chemical prepatterns of alternat­ ing PMMA-wetting stripes and neutral stripes. Furthermore, the capability to create well-defined chemical prepatterns for guiding the self-assembly of block copolymers opens the door to manipulate self-assembly through adjusting the surface chem­ istry and shape of the chemical prepatterns. The DSA process of PS-b-PMMA on the chemical prepatterns of alternating wetting stripes involves surface reconstruction of self-assembled domains. Kinetic experiments and simulation show that initially lamellar PS-b-PMMA forms hexagonally close-packed PS domains on PS-wetting stripes, which then connect to form longer PS domains. Upon further annealing, the dislocations and disclinations of the connected PS domains are removed by breaking and reforming of con­ nected PS domains, which eventually generate linear PS domains aligned perfectly on the PS-wetting stripes.33 The mobility of polymer chains increases with temperature and, therefore, the required annealing time to reach defect-free self-assembled pattern decreases as the annealing temperature is raised. While it can take 2–3 h of annealing at 180 °C to form defect-free self-assembled PS-b-PMMA (104 kg mol− 1) domains on 1:1 chemical prepatterns, it only takes 2–3 min to generate defect-free structures at 220 °C.34 A higher anneal­ ing temperature significantly reduces the annealing time and, therefore, improves the throughput of DSA chemical epitaxy processes without compromising the quality of the resulting pattern.

240

Block Copolymer Thin Films on Patterned Substrates

The affinity between chemical patterns and polymer domains also plays a key role in generating defect-free epitaxy of the block copolymer on the chemical patterns even when there is a mismatch between L0 and LS. The strain energy that results from deviation between LS and L0 can be overcome by the interfacial energy gain from preferential wetting of each domain. As a result, chemical patterns of alternating PS/pin­ ning stripe that offer larger interfacial energy gain can support defect-free lamellar domains in PS-b-PMMA with 5–10% mis­ match between L0 and LS, while chemical patterns of alternating PS-r-PMMA (50% PS and 50% PMMA)/pinning stripe lead to defective lamellar domains in the same polymer when there is mismatch.32,35 The addition of homopolymers further enhances the toler­ ance of mismatching and allows chemical epitaxy of structures more complex than the typical bulk phases of block copoly­ mers. Stoykovich et al.36 introduced a ternary blend to further extend their surface-directed method. Such a blend system enables the block copolymer lamellae to conform to substrate stripe arrays with sharp bends. In the unblended block copoly­ mer system, a high strain builds up in the polymer film at sharp corners of the chemical pattern because the corner-to-corner distance is much larger than the natural periodicity of the block copolymer. Successful replication of arrays of tilt bound­ aries with 45° and 90° angles was observed as a result of the redistribution of the homopolymer. (The polymer blend includes 20% PMMA homopolymer, 20% PS homopolymer, and 60% symmetric PS-b-PMMA.) Homopolymers are depleted above commensurate regions and concentrated above the distorted regions of the pattern to reduce the strain from incommensurability. Incorporating homopolymers also enables block copoly­ mers/homopolymer co-assembly to successfully replicate essential device features including semi-isolated lines, jog lines, T-junction, and isolated lines.37 Homopolymer additives increase the yield of good self-assembly at the corners of sharp turn in jog lines and T-junctions as shown in Figure 12(a). The generation of isolated features from lamellae require both proper surface chemistry and proper composition of block

copolymers and homopolymers. A large preferential wetting area can be used to isolate the desired feature using parallel oriented lamellae. Alternating stripes are designed to guide each isolated line. Moreover, fine-tuning of the composition of block copolymer and homopolymer is required. Figure 12(b) shows semi-isolated and isolated line–space patterns generated from 1:1 chemical epitaxy of a lamellae-forming PS-b-PMMA/PS/PMMA ternary blend. Simulations find that such an asymmetric blend compensates the local volume frac­ tion variation and reduces the stress at the boundary between parallel oriented domains and perpendicularly oriented domains. On the other hand, even though the addition of homopolymers reduces the strain within the block copolymers at the bend region, homopolymers may not be necessary for some bended structures. If the energy gain from aligning block copolymer domains with the respective chemical stripes is larger than the bending energy of the block copolymer domains, then neat block copolymer can bend without defect formation. Wilmes et al.38 demonstrated that the lamellae of PS-b-PMMA can replicate concentric electron-beam-defined chemical patterns and bend at all curvatures without homo­ polymer additives. In addition to guiding the self-assembly of block copo­ lymer with its natural periodicity and symmetry such as line–space pattern and hexagonal patterns, chemical epitaxy can also be used to direct block copolymers to generate patterns beyond typical morphologies of diblock copoly­ mers, for example, arrays of perpendicular cylinders on a square lattice. A proper relationship between L0 and LS is required to support the self-assembly of cylindrical domains with square symmetry. A high degree of perfection of the replication of the square-symmetry chemical prepattern is observed when the area fraction of PMMA-wetting spot matches the PMMA volume fraction in the block copoly­ mers.39 A similar volume fraction matching strategy can be used to identify the desired composition of ternary polymer blend to replicate checkerboard chemical patterns, consist­ ing of offset, parallel, dashed lines, or alternating lines and dashed lines.40

a

250 nm b 10 lines

5 lines

3 lines

2 lines

1 line

500 nm Figure 12 PS/PMMA/PS-b-PMMA ternary blend on chemical prepattern. (a) SEM images of nested arrays of jogs, isolated PMMA jogs, isolated PS jogs, and arrays of T-junctions. (b) SEM images of isolated segment structures are shown for 10, 5, 3, 2, and 1 lines that have LS = 70 nm and are 1 μm in length. The number of lines represents the number of PMMA-preferential lines in the surface pattern.

Block Copolymer Thin Films on Patterned Substrates

(a)

E-beam pattern

(b)

PS-b-PMMA

241

(c) Size distribution Block copolymer E-beam pattern

150

300 450 600 Dot area (nm2)

Figure 13 Pattern rectification using PS-b-PMMA on the chemical pattern. (a) SEM images of hole arrays (pitch = 27 nm) in developed electron-beam resist. (b) SEM images of hole arrays (pitch = 27 nm) from PS-b-PMMA on the chemical patterns from (a). (c) Hole size distribution in electron-beam pattern and block copolymer.

Beyond simple replication of various features, the beauty of chemical epitaxy of block copolymers lies in its self-healing capability. For example, the line width of each PS-wetting stripe and PMMA-wetting stripe does not need to be exactly 50:50 to generate perfectly aligned lamellar domains. Defect-free align­ ment of lamellae can be achieved on chemical patterns with the width ratio of PS-wetting stripe and PMMA-wetting stripe ran­ ging from 36:64 to 63:37.41 Such tolerance of the self-assembly of block copolymers on the chemical patterns opens opportu­ nities to rectify the critical dimension (CD) variation of the chemical patterns. CD refers to the lateral size of a patterned feature, such as the line width of a line feature or the diameter of a hole feature. Pattern rectification through chemical epitaxy has been shown to improve the pattern quality of poorly defined lithography patterns. Figure 13(a) shows hole arrays in resist written by electron-beam lithography at a pitch of 27 nm. Figure 13(b) shows 27 nm pitch holes from PS-b-PMMA on the chemical prepattern from Figure 13(a). The hole size distribution in hole arrays (σ = 11 nm2) from the block copolymer film is significantly narrower than the distri­ bution in the prepattern (σ = 26 nm2) as shown in Figure 13(c). The placement error in the self-assembled domain is also significantly reduced.42

7.12.3.2 Self-Assembly of Block Copolymers on Sparse Chemical Patterns In 1:1 chemical epitaxy on dense chemical patterns, there is a gain in CD variation control; however, there is no resolution enhancement by employing dense chemical patterns. The che­ mical pattern must be generated across the substrate at the same resolution as the block copolymer domain spacing. In contrast, chemical epitaxy on sparse chemical patterns provides a route to simultaneously increase spatial frequency of and reduce CD variation from the chemical patterns. Cheng et al.43 made a sparse chemical pattern consisting of a chemically heterogeneous surface with alternating pinning stripes of width LP and neutral stripes of width LN (LN = LS − LP), where the pitch of the sparse chemical pat­ terns (LS) is larger than the pitch of the block copolymer assembly (L0) (Figure 14). The pinning stripe has higher affi­ nity for one PMMA, so as to pin the spatial location of a particular polymer domain. On the other hand, the neutral stripes provide similar affinity to both PMMA and PS and create perpendicularly oriented PS-b-PMMA domains.

The sparse chemical pattern was made from a two-layer system consisting of a thin layer of patterned negative-tone resist (hydro­ gen silsesquioxane (HSQ)) on the top of a neutral underlayer made from a cross-linked film of poly(styrene-r-epoxydicyclopen­ tadiene methacrylate). The underlayer provided a neutral surface for both PS and PMMA, while the HSQ resist patterns served as pinning regions that are preferentially wetted by PMMA. The HSQ resist is exposed by electron-beam lithography and developed to generate thin pinning stripes with pitch (LS) of 57.5 nm, width (LP) of 14.5 nm, and height of 2.5 nm as shown in Figure 14(a). This two-layer system offers a simple route to integrate DSA with standard lithographic processes and allows direct visualization and characterization of the chemical patterns. Figure 14(b) shows how well-defined, precisely registered, PS lines with narrow CD distribution from PS-b-PMMA (LP = 28.8 nm) rectify the poorly defined sparse chemical patterns and double the spatial frequency of sparse a chemical pattern (LS = 2LP). Figure 14(c) shows full concentric circles of self-assembled PS domains on the sparse chemical patterns.43 Using a similar method, a 6-fold frequency multiplication (LS = 120 nm = 6LP) of line–space pat­ terns has been achieved.44 At the resolution limit of conventional lithography, it is very difficult to generate sub­ 20-nm features, and the printed patterns frequently exhibit poor dimensional control and edge definition. The combination of pattern rectification and frequency multiplication afforded by chemical epitaxy on the sparse chemical patterns brings c

(a)

Self-assembly and self healing (b)

Figure 14 Frequency doubling and self-healing of curved line–space patterns. (a) AFM height images of poorly defined target pattern with concentric rings with a pitch of 57.5 nm. (b and c) SEM images of self-assembled lines with a pitch of 28.8 nm. The diameter of the target is 4 μm.

242

Block Copolymer Thin Films on Patterned Substrates

Block copolymer

self-assembly of block copolymers one step closer to practical lithography applications. Patterned magnetic recording media is an application that can significantly benefit from chemical epitaxy on sparse chemi­ cal prepatterns. Bit patterned media where each magnetic bit is lithographically defined are expected to provide higher areal density than traditional continuous media. However, patterns with the small CD and tight pitch required for ultra-high-density magnetic data storage cannot be reached by current state-of­ the-art optical lithography. Even with modern electron-beam lithography, large-area, well-defined 30-nm-pitch patterns are extremely difficult. In addition, since current electron-beam lithography writes sequentially, it is not cost or time efficient to write the whole disk area with this method. Ruiz et al.42 demon­ strated density quadrupling and improved size distribution by self-assembling 27-nm-pitch cylinder-forming PS-b-PMMA block copolymers on a sparse chemical prepattern with a hex­ agonal array of 54-nm-pitch PMMA-wetting dots surrounded by PS-wetting surface. Figures 15(a)–15(c) show the pattern trans­ fer process used to generate discrete magnetic islands by removing PMMA, Cr liftoff, etching into Si, and then deposition of magnetic materials. Figure 15(d) shows a cross-section TEM image of the resulting magnetic islands.45 Recently, discrete magnetic islands of 1 Tbit in.− 2 (pitch = 24 nm) were fabricated using arrays of PMMA domains templated by the sparse chemi­ cal patterns. Recording of 1 Tbit in.− 2 can be achieved with a (a)

~ 35 nm

Si pillars

(b)

~ 28 nm

Magnetic BPM

(c)

100 nm

(d)

20 nm

Magn. island Pillar trench material Si substrate

20 nm

(e)

head with integrated plasmonic antenna and dramatic improve­ ment in track width and optical efficiency compared to the continuous media is observed.46 Figure 15(e) shows a high-resolution magnetic force microscopy image of the recorded bit patterned media. The defectivity of chemical epitaxy on the sparse chemical prepattern is a function of film thickness of block copolymer. On a sparse chemical prepattern of PMMA-wetting dots sur­ rounded by PS-wetting surface (LS = 2L0), cylinders from 1.5L0 thick asymmetric PS-b-PMMA film form a typical close-packed geometry and interpolate the chemical pattern by forming additional cylinders between each PMMA-wetting spots yield­ ing density quadrupling. On the same pattern, PS formed hexagonal-shaped domains surrounded by the PMMA contin­ uous phase in between the PMMA spots in a 1L0 thick asymmetric PS-b-PMMA film. Therefore, the quality of density multiplication is sensitive to the film thickness on such PMMAwetting/PS-wetting sparse chemical patterns.47 Higher density multiplications were also demonstrated using both cylinder-forming PS-b-PMMA.48,49 DSA patterns with higher areal density can be achieved using block copolymer with smaller natural periodicity. With the relatively lower immiscibility between PS and PMMA, the smallest pitch that can be achieved using PS-b-PMMA is around 20 nm. Higher immiscibility between the two polymer blocks (i.e., larger Flory–Huggins parameter) allows block copolymer of lower molecular weight remaining microphase separated, therefore, providing self-assembled features with smaller pitch. For example, the Flory–Huggins parameter between PS and PDMS is significantly larger than the Flory–Huggins para­ meter between PS and PMMA. A pattern density of 3.8 Tbit in.− 2 has been demonstrated using PS-b-PDMS of a pitch of 14 nm. The PDMS domains from PS-b-PDMS can be directed by a sparse chemical prepattern with PDMS-wetting spots surrounded by continuous PS-wetting surface.50 In summary, we have reviewed the background and impor­ tant aspects of chemical epitaxy, including (1) kinetics of self-assembly on the chemical pattern, (2) surface chemistry on the chemical prepatterns, (3) composition of block copolymers, (4) geometries/lateral dimension of chemical prepatterns, and (5) self-healing behavior. A combination of self-assembled block copolymer domains and a sparse chemi­ cal pattern has been shown to simultaneously enhance resolution and rectify poorly defined chemical patterns formed with lithographic approaches. In practical applications, ultra­ high-density magnetic bit patterned media have been made using PS-b-PMMA and sparse chemical patterns generated from electron-beam lithography.

7.12.4 Theory and Simulation of Block Copolymer Thin Films on Patterned Substrates 200 nm

Figure 15 Fabrication of bit patterned media (pitch = 35 nm) using block copolymer on the sparse chemical prepatterns (LS = 70 nm). SEM images of (a) the block copolymer film after PMMA removal, (b) Si pillars after Cr liftoff, (c) magnetic dots of Co/Pd multilayer on the pillar, (d) cross-sectional TEM image though two consecutive rows of magnetic bits, and (e) high-resolution magnetic force microscopy image of single-tone TAR tracks at 28-nm bit length written on the medium with a track width of 24 nm.

In addition to experimental studies of DSA, there have been extensive theoretical and computational efforts aimed at under­ standing the thermodynamics and kinetics of DSA processes, as well as the influence of process variables such as film thickness or surface energy. In this section, we review the typical methods used to model DSA (Section 7.12.4.1), the surface representation (Section 7.12.4.2), and modeling studies of topographical (Section 7.12.4.3) or chemical epitaxy (Section 7.12.4.4).

Block Copolymer Thin Films on Patterned Substrates

7.12.4.1

Overview of Methods

In this section, we review the major classes of models used to simulate the structure and dynamics of polymeric systems, and the sampling methods used with these models. There are three main classes of models, differentiated by the underlying math­ ematical representation of the polymer melt. Particle models explicitly represent the degrees of freedom of each polymer chain in the melt, tracking the positions of each atom or monomer or statistical segment in the simulation volume. Chain conformations are sampled using Monte Carlo51 or dynamical sampling methods such as Langevin dynamics. Long simulation times, annealing protocols, or enhanced sampling methods are typically necessary to allow the polymer film to relax to low free energy conformations. Since all of the chains in the film are represented explicitly, the number of particles and, therefore, the computational work required scale with the system volume. In a particle model simulation, the free energy of a particular configuration is not directly available, making it difficult to compare the relative stabilities of different film morphologies. If a smooth path can be found that reliably converts the system from one morphol­ ogy of interest to another, the free energy difference between those two states can be determined by integrating the work required to move along that path.52 Another difficulty with particle models is that there is generally no easy way to derive the parameters of the particle–particle interaction from experi­ mental parameters such as the Flory–Huggins χ. Instead, the effective χ needs to be estimated from the density or energy distribution after the simulation.53,54 Field models apply a mathematical transformation to con­ vert an underlying many-chain particle model into one of isolated single polymer chains interacting with one or more scalar fields. Efficient fast Fourier transform (FFT) techniques can be used to determine the distribution of single chains in the presence of fields representing composition and density fluctuations. In a self-consistent field theory (SCFT), these dis­ tributions of single chains and the values of the scalar fields are alternately updated until stable solutions (saddle points) are found. These saddle points represent free energy minima, stable configurations of the polymer film. The free energies of competing morphologies can be determined directly and com­ pared. A further advantage of field models is that they are typically parameterized directly from experimentally accessible quantities such as the chain radius of gyration (Rg), Flory– Huggins (χ), and isothermal compressibility. Challenges for field models include accurate inclusion of fluctuations, dyna­ mical simulations for time-dependent properties, and the numerical details of the field equations, particularly in the presence of discontinuities such as a hard substrate. Fredrickson55 provides an extensive overview of field-based models for polymer simulation. Recently, Detcheverry et al.56 have developed a new class of hybrid particle-field models, which simulate explicit polymer chains interacting through a field theory-like potential. Phenomenological models are simplified models of physi­ cal processes that have been adapted or parameterized to describe polymer thin films. They differ from particle or field models in that they are not derived directly from the statistical mechanics of the polymer film. For example, a common phe­ nomenological model for confined block copolymer phases is

243

to assume that the free energy of a phase increases harmoni­ cally when the confinement is smaller or larger than some ideal value. This can even be coupled with surface area estimates of polymer–substrate interactions to predict preferences for one phase or another in different geometries.57 A more complex example of a phenomenological model is the Liebler-OhtaKawasaki phase-field model,58 which can be parameterized to represent the behavior of a particular block copolymer. A draw­ back of phenomenological models is that they have to be parameterized for the system of interest. Unlike a particle or field model, the phase-field model suitable for a symmetric AB diblock may need to be reparameterized to represent an AB + A blend. Many early field-like models were effectively phenom­ enological, lacking a strong formal basis in statistical mechanics for the inclusion of fluctuations or time-dependent properties.

7.12.4.2

Representation of Surface and Surface Model

In order to simulate the effect of a patterned surface on a polymer thin film, the relevant properties of the surface must be faithfully reproduced in simulation. Figure 16 illustrates these various surface properties. The shape and dimensions of any confining surfaces need to be captured. This includes the thickness of the polymer film, confined between the substrate on the bottom and a vapor or upper interface on top. If surfaces are not smooth but have variation on length scales approach­ ing radius of gyration (Rg), that roughness may need to be included as well. Depending on the surface, there may be some sort of coating or grafting of an interfacial layer to control the interactions of the film with the surface. This interfacial layer will have some interaction with the components of the polymer film, requiring definition of a contact energy or surface tension. Finally, there may be controlled or uncontrolled varia­ tion in the chemistry of the interfacial layer, producing chemical surface patterning. Again, the shapes and dimensions of these surface patterns need to be introduced into the simulation. Most simulation models represent a bulk-like material sam­ ple by simulating a smaller amount of material under explicitly periodic boundary conditions. A polymer chain that exits one face of the simulation cell simply reenters on the opposite face. In effect, there are no interfacial boundaries in the simulation. As a result, simple shape and thickness effects can sometimes be introduced into models by simply adjusting the boundary conditions. Neutral substrate and vapor interfaces can be repre­ sented in a thin film by treating the system as periodic in x and y but nonperiodic in the z direction. Film thickness can be simply controlled by increasing or decreasing the available height along the z direction. For field theory models, it is possible to represent certain types of confinement very efficiently by chan­ ging the basis of the calculation to reflect, for example, a thin film on the surface of a spherical substrate or confined in a cylindrical pore.59 For complex confining shapes, a different approach is required. In these cases, the surface shape can be introduced into the model by masking.55 Masking defines regions of the simulation volume that are inaccessible to the polymer film, creating the confining shape. The mask can be implemented as a geometric constraint, a space-fixed potential field, or a quan­ tity of material (atoms or monomers) either fixed or restrained

244

Block Copolymer Thin Films on Patterned Substrates

Shape

Thickness

Roughness

Surface patterning

Interfacial layer

Contact energy

ε1

ε2

Figure 16 Illustration of surface and thin film properties that need to be captured to accurately model the polymer–surface interaction important for DSA processes.

in place. In field models and other cases where the computa­ tional effort scales with the simulated volume rather than the amount of polymer, masking has the drawback that much of the simulated volume is ‘wasted’ to represent the static mask, increasing the computational expense. In addition to confining shapes, surface roughness can also be introduced by masking. A mask is simply introduced that causes the desired variation of the substrate surface height. To date, studies have typically only considered gratings with per­ iodic roughness oriented in one direction. These gratings can induce either long-range grain orientation of cylindrical phase block copolymers or alignment of perpendicular lamellae along the modulation direction. Truly random roughness of a surface at short lateral length scales should not have much effect on order or orientation of a thin film, unless its ampli­ tude is so great (> 0.5L0) that it causes local variations in preferred morphology. Masking produces a relatively well-defined region that excludes the polymer, and is a good representation of a hard solid surface such as a metal or oxide substrate. However, in many thin film experiments, the substrate is actually coated with one or more soft interfacial layers that interact with the polymer film. These layers can be anything from self-assembled monolayers a few atoms thick to polymer brushes or densely cross-linked polymer coatings. Aside from control of contact energies, the primary effect of these layers is to smooth and broaden the interface between the polymer and the substrate. Rather than being excluded by a hard wall, the polymer film density gradually decreases as the interfacial layer becomes denser. In particle simulations, the interfacial layer can be added explicitly by including particles of the interfacial mate­ rial in the simulation or implicitly by a soft repulsive potential that pushes the polymer away from the surface. In field models, a mask with a density gradient can be used to allow the poly­ mer film to intrude into an interfacial region. To date, there has been no systematic simulation study of the effects of an inter­ facial density gradient on ordering in thin films, though it is

expected to decrease the influence of excluded volume effects (i.e., nematic ordering). Once the substrate shape and geometry is defined, it may be necessary to modulate the interaction of different components of the polymer film with regions of the substrate to represent chemical patterns or surface energy differences. By default, most surfaces defined in simulations are neutral, in the sense that they are equally repulsive to all components of the film. In experiment, there can be both intrinsic and patterned differ­ ences in the chemical compatibility of the components for different interfaces. For example, a PS-b-PMMA film might be spun onto a silicon oxide wafer (more attractive to PMMA), which is covered with hydrophobic photoresist stripes (more attractive to PS) and annealed in air (preferred by PS due to its lower surface tension). These surface tension/contact energy differences are accounted for in simulation by introducing component-specific interaction energies with each interface. In the typical simulation where the film is not allowed to de-wet from the substrate, it is sufficient to specify the ‘relative’ energetic preferences for each component at each interface and not their absolute values. These energies can be represented as repulsive or attractive potentials or as a Flory-Huggins type interaction between polymer and interfacial densities. Realistically, these potentials should be short ranged, since only the polymer segments in the interfacial region can be in contact with the interfacial material and longer-range interac­ tions will be screened by the dense melt. By laterally patterning these regions of varying potential, it is possible to represent chemical patterns on the substrate surface in the simulation. These lateral chemical patterns can either be introduced by some sort of periodic function or by treating the surface as a ‘bitmap’ with an array of surface element pixels ‘colored’ by different surface chemistries. Once the models of the polymer and surface have been defined, simulation protocols are typically straightforward. Generally, the film is initialized as a disordered melt, and then allowed to relax (i.e., self-assemble) in the presence of

Block Copolymer Thin Films on Patterned Substrates

245

Figure 17 Illustration of DSA simulations of chemical epitaxy (left two columns) or graphoepitaxy (right columns). The top row shows straight or wavy chemical patterns or topographical walls on a simulated substrate. Green regions are selective for the A block (red) and yellow regions selective for the B block (blue) of a model block copolymer, while gray surfaces are neutral. DSA of a disordered thin film of symmetric AB diblock copolymer was simulated in the presence of each substrate pattern using single chain in mean-field Monte Carlo to produce the self-assembled structures in the bottom row.

the patterned surface. Figure 17 shows examples from simula­ tions of symmetric AB diblocks self-assembling in regular or distorted chemical or topographical patterns. It is also possible to initialize the film in a standard bulk phase (if known) to assess if that phase is stable in the presence of the surface. The relaxation process can take the form of an optimization (energy or free energy minimization), or a simulation at finite tempera­ ture. In the latter case, different choices for the sampling scheme (Monte Carlo, Brownian–Langevin dynamics, molecu­ lar dynamics, etc.) may differ in efficiency but should all reproduce the same thermodynamically stable phases, albeit with different effective kinetics.54 Thermal or potential anneal­ ing can be included to improve the ability of the simulation to escape kinetic traps and find low free energy configurations. The distributions of film components are monitored both visually and quantitatively to determine the nature of phases and interfaces formed. A particular benefit of simulations is the ability to observe the component distribution throughout the depth of the film, since many experimental techniques such as atomic force microscopy (AFM) or scanning electron micro­ scopy can only observe the top surface.

7.12.4.3 Simulation of Block Copolymer Thin Films on Topographical Patterns In practice, a polymer thin film on a topographically patterned substrate is confined both vertically between the substrate and vapor interface and laterally between the topographical pat­ terns. Vertical confinement is relatively well understood, since there has been extensive simulation and modeling of polymer thin films confined between a pair of neutral or selective plates, initially aimed at understanding the morphological transitions seen in symmetric block copolymer films as a function of film thickness.60 Thin films can also significantly alter the morphol­ ogy of asymmetric diblock copolymers,61 for example, shifting a bulk gyroid material toward lamellar or cylindrical phases62 or a cylindrical polymer to spherical phases,63,64 depending on film thickness and surface energies. One of the earliest simulation studies of topographical patterns was carried out by Podariu and Chakrabarti in 2000.65 A thin film of symmetric diblock assembled on a selective surface produced a parallel morphology with random defects. Corrugations on the surface localized the defects to the positions of the corrugations, provided the corrugations were

narrower than the lamellar period and their spacing greater than the period of lamellae. Frischknecht et al.66 used a molecular scale density function theory (DFT) model to study symmetric AB diblocks confined in a mixed width channel, which yielded regions of lamellae perpendicular or parallel to the channel with a narrow interface region where the channel changed width. Hard confining surfaces or surfaces with a fixed density interfacial layer are fundamentally different from surfaces with an explicit brush, since the explicit brush contributes to the balance of free energies in the system. Phases of the film can be stabilized or destabilized due to the entropic or energetic response of the brush, as observed in SCF simulations of an asymmetric AB diblock between A brush-coated surfaces.67 Although not in thin films, dynamic DFT methods have also been extended to handle the presence of complex topographi­ cal constraints, with an initial application to fill-induced morphologies of block copolymers.68 Also, Ludwigs et al.69 used dynamic DFT to help interpret AFM structures seen in thin films of an ABC triblock. Similar studies simulated and measured the thickness-dependent morphology in an ABA tri­ block.70 Tsarkova et al.71 studied defect resolution in thin films of parallel cylinder-forming PS-b-PB using a combination of AFM experiments and dynamic DFT simulation, and observed defect resolution proceeding through transient local phase transitions to higher-energy morphologies, such as perforated lamellae. If the confining geometry is commensurate with a target phase, it can serve to stabilize it. In asymmetric systems, this effect is strongest when the confining wall is selective for the majority block. Bosse et al.72 illustrated this effect with 2D SCFT simulations of asymmetric AB or AB + A polymer films con­ fined in a hexagonal wall. This commensurability requirement can be relaxed by mixing some proportion of low­ molecular-weight homopolymer into the majority domain. For example, a study of cylinder-forming AB diblock plus A homopolymer blend thin films confined in a square well showed that a regular square array of vertically oriented cylind­ rical domains could be produced.73 One of the phase diagrams from this work is shown in Figure 18. Sidewalls selective for either component stabilized a square ordered phase, but good order could only be achieved for relatively small confining wells (3 � 3 or 4 � 4 cylinders). This illustrates a common limitation of topographical patterning, where if the confined region is large, the film far from the walls tends to revert to its

246

Block Copolymer Thin Films on Patterned Substrates

21 20

d-SQR

19 SQR

L

18 17 HEX

16

MAC III

MAC I

15 14 0.15

0.2

0.25

0.3 VAh

0.35

0.4

0.45

Figure 18 A phase diagram for assembly of a cylinder-forming AB + A blend film under square confinement. The vertical axis L is the edge length of the confining well, and the horizontal axis VAh is the A homopolymer volume fraction. Various morphologies are observed, including defective square phases (d-SQR), tetragonal ordered phases (SQR), distorted hexagonal phases (HEX), and partial macrophase separation (MAC I, MAC III).

bulk morphology. Square arrays of minority cylinders can also be generated in an unconfined blend film of asymmetric AB and B′C diblocks with carefully tuned B–B′ interaction energies.74 Few simulation studies have explored block copolymer con­ finement in complex multilevel topographical patterns. However, experiments and simulations by Park et al.27 showed that a combination of raised walls with an orthogonal undercut edge could be used to generate simultaneous orientation con­ trol and registration of lamellar domains. Generation of more complex device-oriented structures by topographical patterning alone will likely require similar multidirectional confinement of the polymer film. As seen above, simulation studies of graphoepitaxy can be quite useful in understanding the processes involved in DSA of confined systems. Most work to date has focused on relatively simple confining geometries, though complex 3D geometries have been explored. Integration of topographical or confine­ ment effects with models of complex chemical patterning will likely be necessary to accurately represent future DSA litho­ graphic processes, which will involve both control of topography and surface energy.

7.12.4.4 Simulation of Block Copolymer Thin Films on Chemical Patterns Pereira and Williams performed early 1D large-area simula­ tions of a symmetric AB diblock film on surfaces patterned with selective stripes where the stripe period was either shorter75 or longer76 than the lamellar period. At the same time, Chen and Chakrabarti77 carried out full 3D simulations of a symmetric AB diblock on a striped surface, observing strong epitaxial order when the stripe and polymer periods match but an onset of tilted lamellae for significantly longer period stripes. Kielhorn and Muthukumar78 carried out early 3D simulations of a thick symmetric diblock film in the

presence of a patterned surface. Similar calculations by Wang et al.79 outlined the phase diagram for these systems in terms of film thickness, stripe width, and surface energies. In 2000, Pereira et al.80 performed the earliest 3D simula­ tion of a block copolymer in the presence of combined chemical and topographical patterns. A symmetric AB diblock was simulated over a substrate patterned with wide chemical stripes, where the film thickness was either uniform or increased over the stripe. The lamellae parallel to the substrate exhibited a kink that allowed one microdomain to be contin­ uous across the thicker region. In a combined experiment and simulation study, Edwards et al.33 studied the mechanism of chemical epitaxy in a thin lamellar PS-b-PMMA on a striped surface pattern. Microphase separation was observed to initiate at the patterned surface and propagate upward through the film. This is a common mechan­ ism observed in subsequent studies, the static chemical prepattern is a ‘pre-nucleated’ origin for subsequent coarsening of the block copolymer phase separation. Recent simulation work on chemical patterns has moved beyond simple line–space patterns to consider more complex structures. Stoykovich et al.36 explored the self-assembly of a ternary blend consisting AB diblock, A homopolymer, and B homopolymer over complex chemical patterns with simulation and experiment. In this work, simulations were particularly useful to illustrate the distribution of homopolymer to regions of the chemical pattern that would otherwise nucleate defects in the block copolymer. Similar simulations were used to model the distribution of nanoparticles in a block copolymer film above chemical patterns.81 Simulations have also provided some guidance in the development of sparse chemical patterns. Ruiz et al.42 made use of simulations to elucidate the 3D morphology of cylinder-forming block copolymers in a vertical array templated by sparse chemical spots. Similarly, Yang et al.19 made use of 2D SCFT simulations to model commensurability effects in assemblies of cylindrical domains guided by complex pat­ terns of selective raised posts. An example of incommensurate patterns was a combined simulation and experimental study of a PS-b-PMMA + hPS + hPMMA ternary blend self-assembling on a surface patterned with a square lattice of selective spots.82 Near the substrate surface, a quadratically perforated lamella of PS was observed, with PS-rich cylindrical necks extending to the upper surface of the film. Daoulas and co-workers used simulations to study how roughness in a substrate pattern of selective lines propagates up through a thin film of perpendicular lamellae (Figure 19). In both AB diblock and AB + A + B ternary blends, the interfacial roughness decreases with film thickness, with more efficient healing of peristaltic line width variation than undulatory roughness.83 Simulations have provided insight both into the robustness with which block copolymer DSA will reproduce chemical pat­ terns as well as the extent of pattern rectification that can be expected. As with experimental studies of chemical epitaxy, pat­ tern commensurability, energetic selectivity, film thickness, blend composition, and molecular architecture can all influence the DSA pattern. A particular strength of simulation is its ability to provide near-nanometer scale 3D details as well as insights into the complex balance of forces driving these processes.

Block Copolymer Thin Films on Patterned Substrates

ΔL

ΔL d

d

x y z

provide a feasible patterning solution for bit patterned media. On the other hand, there is a pressing need to generate nonperiodic or aperiodic structures that are very common in semiconductor devices. However, only a few demonstrations focus on creating bending lines, T-junction, or other program­ mable, device-oriented patterns. In-depth understanding of the interaction between nonperiodic self-assembled domains and prepatterns plays an important role in designing a chemical or topographical guiding prepattern. More research effort in this direction will benefit the fundamental understanding of com­ plicate polymer–substrate interaction as well as extend the patterning applications into semiconductor devices.

7.12.5.2

Figure 19 Instantaneous (top row) and thermally averaged (bottom row) domain structures in simulations of an AB diblock copolymer assembling on a commensurate pattern of selective lines with undulatory (left column) or peristaltic (right column) roughness. Only the A-rich blocks are shown.

7.12.5 Future Issues for Block Copolymer Thin Films on Pattern Substrates From its beginning in the late 1990s, DSA of block copolymers has grown into an active research area over the past decade. As shown above, block copolymer self-assembly on patterned substrates promises a facile route to nanoscopic patterns for future fabrications. Even though precise registration of block copolymer domains and simulation of complicated substrate– polymer interaction have been demonstrated, many challenges and opportunities await for more investigation in the future.

7.12.5.1

Issues in Materials and DSA Processes

The fact that limited numbers of material systems have been explored as model systems suggests that this research field will provide enormous opportunities for developing novel materials. The opportunities/challenges of materials include (1) introducing copolymers having improved chemical con­ trast between microdomains, which will provide strong segregation to extend the lower limit of feature sizes and enough selectivity for applying fabrication processes such as plasma etching; (2) introducing new types of patterns from more complex morphologies of higher order of block copoly­ mers such as triblock copolymers and multiblock copolymers; and (3) exploring novel materials in hybrids such as organic– inorganic complexes. In addition to the copolymers, effort to explore ancillary supporting materials such as materials for neutral layers and guiding patterns will be crucial as well. For example, combination of block copolymers with guiding pat­ terns defined by 193-nm immersion lithography facilitates the integration of DSA into high-volume manufacturing.84 DSA of block copolymers has been considered as an alter­ native patterning solution to generate sublithographic features. Most of the demonstrations so far are periodic structure that is limited by the symmetry and natural periodicity of the block copolymers. These periodic or semiperiodic patterns from DSA

247

Issues in Simulations

There are several open areas of inquiry where simulations may be able to provide insights complementary to experiment. First, most simulation efforts to date have focused on the thermo­ dynamics of polymer self-assembly, but depending on the polymer material and processing conditions, kinetic effects can also be important. Understanding the time required for large-area order formation on sparsely patterned substrates85 will be essential for efficient processing of gratings or patterned media. Patterning applications would also benefit from detailed predictions of the thermodynamics of defect forma­ tion in these films,86 to allow estimates of defect density under ideal processing conditions. A second area of future inquiry is the role of solvent in both the spin-coating process and its use in solvent annealing. Spin coating requires a neutral solvent that evaporates from the film as it dries, producing a complex upper film–vapor interface.87 If the substrate is topographically patterned, the film–vapor inter­ face can have significant positive and negative curvatures that alter either the kinetics or thermodynamics of self-assembly. Solvent can also affect the self-assembly process by swelling one or more of the components in the film. Swelling increases the volume fraction of the component, possibly altering the most stable morphology88 as well as accelerating reorganiza­ tion by increasing mobility of the swelled component. The changes in morphology and dynamics that occur as the solvent asymmetrically evaporates from the vapor interface of a thin film are not well understood, but will be useful for practical solvent annealing. Finally, there is a need for further integration of computa­ tional models of the polymer thin film with models of subsequent processing steps. The ordered polymer films pro­ duced by patterned surfaces may either be used sacrificially, to pattern the underlying material, or retained as part of a final device structure. The former case, typical of block copolymer nanolithography, needs some way to determine the final pat­ tern transferred into the substrate given the polymer component distributions seen in simulation. Direct modeling of the etching or pattern transfer processes used can help make this connection. For other applications, such as organic photo­ voltaic cells, the polymer may be an active part of the device. Accurate modeling of device properties such as electrical or optical transmission will require a detailed understanding of the fine (subnanometer) scale structure of interfaces within the polymer film and between the film and substrate. At this scale, effects such as surface-induced crystallization89,90 or the details of polymer–interfacial layer structure will be relevant.

248

Block Copolymer Thin Films on Patterned Substrates

In conclusion, the DSA of polymer thin films using either topographical or chemical patterns provides a means to intro­ duce registered, controlled large-area order in materials that were previously too disordered for most patterning applica­ tions. Extensive experimental and simulation studies have explored the important roles of molecular architecture, film thickness, and surface characteristics in controlling the mor­ phology and defectivity of DSA patterns. While there is much work to be done, particularly in the development of new poly­ mer systems, understanding of solvent annealing, and modeling of free surface effects, the benefits of DSA such as pattern rectification and pattern multiplication promise signif­ icant future technological opportunities.

References 1. 2. 3. 4. 5. 6. 7. 8. 9. 10. 11. 12. 13. 14. 15. 16. 17. 18. 19. 20. 21. 22. 23. 24. 25. 26. 27. 28. 29. 30. 31. 32. 33. 34. 35. 36. 37. 38. 39. 40.

Park, C.; Yoon, J.; Thomas, E. L. Polymer 2003, 44, 6725.

Krausch, G.; Magerale, R. Adv. Mater. 2002, 14, 1579.

Lazzari, M.; Lopez-Quintela, M. A. Adv. Mater. 2003, 15, 1583.

Hamley, I. W. Angew. Chem., Int. Ed. 2003, 42, 1692.

Segalman, R. A. Mater. Sci. Eng. Rep. 2005, 48, 191.

Stoykovich, M. P.; Nealey, P. F. Mater. Today 2006, 9, 20. Li, M.; Ober, C. K. Mater. Today 2006, 9, 30. Cheng, J. Y.; Ross, C. A.; Smith, H. I.; Thomas, E. L. Adv. Mater. 2006, 18, 2505.

Black, C. T.; Ruiz, R.; Breyta, G.; et al. IBM J. Res. Dev. 2007, 51, 605.

Ross, C. A.; Cheng, J. Y. MRS Bull. 2008, 33, 838.

Kim, H.-C.; Park, S.-M.; Hinsberg, W. D. Chem. Rev. 2010, 110, 146.

Rockford, L.; Liu, Y.; Mansky, P.; et al. Phys. Rev. Lett. 1999, 82, 2602.

Segalman, R. A.; Yokoyama, H.; Kramer, E. J. Adv. Mater. 2001, 13, 1152.

Sivaniah, E.; Hayashi, Y.; Matsubara, S.; et al. Macromolecules 2005, 38, 1837.

Cheng, J. Y.; Mayes, A. M.; Ross, C. A. Nat. Mater. 2004, 3, 823. Xia, S.; Yang, X.; Edward, E. W.; et al. Nanotechnology 2005, 16, S324.

Ruiz, R.; Ruiz, N.; Zhang, Y.; et al. Adv. Mater. 2007, 19, 2157.

Bita, I.; Yang, J. K. W.; Jung, Y. S.; et al. Science 2008, 321, 939.

Yang, J. K. W.; Jung, Y. S.; Chang, J. B.; et al. Nat. Nanotechnol. 2010, 5, 256. Sundrani, D.; Darling, S. B.; Sibener, S. J. Nano Lett. 2004, 4, 273. Black, C. T. Appl. Phys. Lett. 2005, 87, 163116.

Jung, J.-S.; Jung, W.; Tuller, H. L.; Ross, C. A. Nano Lett. 2008, 8, 3776–3780. Park, S.; Lee, D. H.; Xu, J.; et al. Science 2009, 323, 1030.

Fasolka, M. J.; Harris, D. J.; Mayes, A. M.; et al. Phys. Rev. Lett. 1997, 79, 3018.

Park, S. M.; Berry, B. C.; Dobisz, E.; Kim, H.-C. Soft Matter 2009, 5, 957. Pickett, G. T.; Witten, T. A.; Nagel, S. R. Macromolecules 1993, 26, 3194.

Park, S. M.; Rettner, C. T.; Pitera, J. W.; Kim, H.-C. Macromolecules 2009, 42,

5895.

Park, S. M.; Stoykovich, M. P.; Ruiz, R.; et al. Adv. Mater. 2007, 19, 607.

Chang, L. W.; Lee, T. L.; Wann, C. H.; et al. IEDM 2009, S36.3 Yang, X. M.; Peters, R. D.; Kim, T. K.; Nealey, P. F. J. Vac. Sci. Technol., B 1999,

17, 1203.

Yang, X. M.; Peters, R. D.; Nealey, P. F.; et al. Macromolecules 2000, 33, 9575.

Kim, S. O.; Solak, H. H.; Stoykovich, M. P.; et al. Nature 2003, 424, 411.

Edwards, E. W.; Stoykovich, M. P.; Mullerm, M.; et al. J. Polym. Sci., Part B: Polym.

Phys. 2005, 43, 3444.

Welander, A. M.; Kang, H.; Stuen, K. O.; et al. Macromolecules 2008, 48, 2759.

Edwards, E. W.; Montague, M. F.; Solak, H. H.; et al. Adv. Mater. 2004, 16, 1315.

Stoykovich, M. P.; Muller, M.; Kim, S. O.; et al. Science 2005, 308, 1442.

Stoykovich, M. P.; Kang, H.; Daoulas, K. C.; et al. ACS Nano 2007, 1, 168. Wilmes, G. M.; Durkee, D. A.; Balsara, N. P.; Liddle, J. A. Macromolecules 2006,

39, 2435.

Park, S.-M.; Craig, G. S. W.; La, Y.-H.; et al. Macromolecules 2007, 40, 5084.

Kang, H. G.; Craig, S. W.; Nealey, P. F. J. Vac. Sci. Technol., B 2008, 26, 2495.

41. 42. 43. 44.

Edwards, E. W.; Muller, M.; Stoykovich, M. P.; et al. Macromolecules 2007, 40, 90.

Ruiz, R.; Kang, H.; Decheverry, F. A.; et al. Science 2008, 321, 936.

Cheng, J. Y.; Rettner, C. T.; Sanders, D. P.; et al. Adv. Mater. 2008, 20, 3155.

Cheng, J. Y.; Nelson, A.; Rettner, C. T.; et al. J. Photopolym. Sci. Technol. 2009,

22, 219.

45. Hellwig, O.; Bosworth, J. K.; Dobisz, E.; et al. Appl. Phys. Lett. 2010, 96,

052511.

46. Stipe, B. C.; Strand, T. C.; Poon, C. C.; et al. Nat. Photonics 2010, 4, 484. 47. Tada, Y. S.; Akaksaka, H.; Yoshida, H.; et al. Macromolecules 2008, 41, 9267.

48. Tada, Y. S.; Akaksaka, H.; Takenanka, M.; et al. Polymer 2009, 50, 4250.

49. Yang, X.; Wan, L.; Xio, S.; et al. ACS Nano 2009, 3, 1844. 50. Xiao, S.; Yang, X.; Park, S.; et al. Adv. Mater. 2009, 21, 2516.

51. Binder, K.; Müller, M. Curr. Opin. Colloid Interface Sci. 2000, 5, 315. 52. Daoulas, K. C.; Müller, M.; Stoykovich, M. P.; et al. Langmuir 2008, 24, 1284.

53. Wang, Q.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2002, 35, 9563.

54. Horsch, M. A.; Zhang, Z.; Lacovella, C. R.; Glotzer, S. C. J. Chem. Phys. 2004, 121,

11455.

55. Fredrickson, G. The Equilibrium Theory of Inhomogeneous Polymers; Oxford University Press: Oxford, UK, 2005. 56. Detcheverry, F. A.; Pike, D. Q.; Nealey, P. F.; et al. Phys. Rev. Lett. 2009, 102,

197801.

57. Walton, D. G.; Kellogg, G. J.; Mayes, A. M.; et al. Macromolecules 1994, 27, 6225.

58. Ohta, T.; Kawasaki, K. Macromolecules 1986, 19, 2621.

59. Chantawansri, T. L.; Bosse, A. W.; Hexemer, A.; et al. Phys. Rev. E 2007, 75, 17.

60. Alexander-Katz, A.; Fredrickson, G. H. Macromolecules 2007, 40, 4075.

61. Huinink, H. P.; van Dijk, M. A.; Brokken-Zijp, J. C. M.; et al. Macromolecules 2001,

34, 5325.

62. Yin, Y.; Sun, P.; Jiang, R.; et al. J. Chem. Phys. 2006, 124, 184708.

63. Wang, Q.; Nealey, P. F.; de Pablo, J. J. Macromolecules 2001, 34, 3458.

64. Niihara, K.-i.; Sugimori, H.; Sugimori, H.; et al. Macromolecules 2008, 41, 9318.

65. Podariu, I.; Chakrabarti, A. J. Chem. Phys. 2000, 113, 6423.

66. Frischknecht, A. L.; Curro, J. G.; Douglas Frink, L. J. J. Chem. Phys. 2002, 117,

10398.

67. Ren, C.-L.; Chen, K.; Ma, Y. J. Chem. Phys. 2005, 122, 154904.

68. Sevink, G. J. A.; Zvelindovsky, A. V.; van Vlimmeren, B. A. C.; et al. J. Chem. Phys.

1999, 110, 2250.

69. Ludwigs, S.; Boker, A.; Voronov, A.; et al. Nat. Mater. 2003, 2, 744. 70. Horvat, A.; Lyakhov, K. S.; Sevink, G. J. A.; et al. J. Chem. Phys. 2004, 120, 1117.

71. Tsarkova, L.; Horvat, A.; Krausch, G.; et al. Langmuir 2006, 22, 8089.

72. Bosse, A. W.; Garcia-Cervera, C. J.; Fredrickson, G. H. Macromolecules 2007, 40,

9570.

73. Hur, S.-M.; Garcia-Cervera, C. J.; Kramer, E. J.; Fredrickson, G. H. Macromolecules

2009, 42, 5861.

74. Tang, C.; Hur, S.-M.; Stahl, B. C.; et al. Macromolecules 2010, 43, 2880.

75. Pereira, G. G.; Williams, D. R. M. Phys. Rev. Lett. 1998, 80, 2849.

76. Pereira, G. G.; Williams, D. R. M. Phys. Rev. E 1999, 60, 5841.

77. Chen, H.; Chakrabarti, A. J. Chem. Phys. 1998, 108, 6897.

78. Kielhorn, L.; Muthukumar, M. J. Chem. Phys. 1999, 111, 2259.

79. Wang, Q.; Nath, S. K.; Graham, M. D.; et al. J. Chem. Phys. 2000, 112, 9996.

80. Pereira, G. G.; Williams, D. R. M.; Chakrabarti, A. J. Chem. Phys. 2000, 112,

10011.

81. Kang, H.; Detcheverry, F. A.; Mangham, A. N.; et al. Phys. Rev. Lett. 2008, 100,

148303.

82. Daoulas, K. C.; Müller, M.; Park, S.-M.; et al. Phys. Rev. Lett. 2006, 96,

036104.

83. Daoulas, K. C.; Harmandaris, V. A.; Mavrantzaset, V. G. Macromolecules 2005, 38,

5780.

84. Cheng, J. Y.; Sanders, D. P.; Truong, H.; et al. ACS Nano 2010, 4, 4815. 85. Ruiz, R.; Bosworth, J. K.; Black, C. T. Phys. Rev. B 2008, 77, 054204.

86. Bosse, A. W.; Sides, S. W.; Garcia-Cervera, C. J.; Fredrickson, G. H. J. Polym. Sci.,

Part B: Polym. Phys. 2006, 44, 2495.

87. Fitzgerald, T. G.; Farrell, R. A.; Petkov, N.; et al. Langmuir 2008, 25, 13551.

88. Jung, Y. S.; Ross, C. A. Adv. Mater. 2009, 21, 2540.

89. Baschnagel, J.; Meyer, H.; Varnik, F.; et al. Interface Sci. 2003, 11, 159.

90. Müller, M.; Daoulas, K. C. J. Chem. Phys. 2008, 128, 024903.

Block Copolymer Thin Films on Patterned Substrates

249

Biographical Sketches Dr. Cheng is a research staff member in Lithography Materials Group at the IBM Almaden Research Center. Her research focuses on the use of self-assembly materials for patterning applications. Her current research projects include under­ standing fundamental mechanism of directed self-assembly and developing materials and process for integration of directed self-assembly into standard lithography processes. Dr. Cheng received her BS and MS degrees in chemistry from National Taiwan University, Taiwan, and her PhD degree in materials science and engineering from the Massachusetts Institute of Technology.

Ho-Cheol Kim received his BS, MS and PhD degrees from Seoul National University in Korea. Following his post-doctoral research at Polymer Science and Engineering Department at the University of Massachusetts at Amherst, he joined IBM Almaden Research Center in 2001. Currently he is a Research Staff Member in Advanced Organic Materials Group. His research interests are nanostructures of self-assembled functional hybrid materials, especially for applications to surface nano-patterning, solar cells and electrical energy storage devices. He has received IBM Research Division Award in 2005 for advances in creation of controlled nanostructures. He has authored or co-authored over 100 research publications, mentored over 40 undergraduate and graduate students and holds 14 issued US patents.

Dr. Pitera is a research staff member in Science and Technology at the IBM Almaden Research Center. His research focuses on the use of computer simulation to address questions in biology and chemistry, particularly in the areas of protein folding, molecular recognition, self-assembly, and computer-aided materials design. His current research projects include simulations of polymeric materials for lithography, desalination, and drug delivery applications. Dr. Pitera received undergraduate training in Biology and Chemistry at the California Institute of Technology, where he worked in Prof. Pamela Bjorkman’s protein crystallography group. Subsequently, he pursued graduate studies in Biophysics at the University of California, San Francisco in the laboratory of Prof. Peter Kollman. In Dr. Kollman’s group, he developed an interest in the use of biomolecular simulation and free energy calculations in the rational design of proteins and pharmaceuticals. He pursued similar work in a postdoctoral position with Prof. Dr. Wilfred van Gunsteren at the ETH in Zurich, Switzerland, where his research focused on novel methods to calculate free energies for ligand design. Dr. Pitera is also an adjunct assistant professor in the UCSF Department of Pharmaceutical Chemistry, and maintains active collabora­ tions with groups at UCSF and Stanford.