Materials Science in Semiconductor Processing 5 (2003) 291–299
CARL–advantages of thin-film imaging for leading-edge lithography E. Richter*, M. Sebald, L. Chen, G. Schmid, G. Czech Infineon Technolgies AG, Germany
Abstract Upcoming nodes in semiconductor lithography will surely require more complex imaging processes due to demanding critical dimensions. It is still questionable, if standard single layer resist processing and optical resolution enhancement techniques will meet future lithography requirements. Dry developable bilayer resist systems offer high resolution capability and wide focus windows due to the thin imaging photoresist layer that is applied on top of a thick light-absorbing and planarizing bottom resist. The CARL bilayer resist process with its unique ‘‘chemical biasing’’ step allows to grow lines or shrink contact holes after exposure, thus allowing wider process windows. Furthermore, the thin-film shrink concept significantly extends the natural resolution limit compared to standard single layer resist process, which is an attractive approach for future and NGL technologies. r 2002 Published by Elsevier Science Ltd. Keywords: CARL; Silylation; Chemical amplification; Thin film imaging
1. Introduction Semiconductor photolithography is one of the key steps in manufacturing of integrated silicon-based circuits (ICs). At present, no alternative technology provides the desired pattern architecture with similar accuracy, speed, and economic productivity. Extrapolating from today’s technology, it appears that at least 70 nm lithography will be achieved using optical tools [1]. This will result from continuing improvements in exposure equipment, photoresists, process control, and the implementation of emerging techniques. Extension of optical lithography to the sub-150 nm regime had enormous implications for semiconductor industry by extending the lifetimes of million-dollar 248 nm steppers and billion-dollar semiconductor fabs. Various resolution enhancement techniques (RETs) are being pursued such as phase-shifting masks (PSMs), offaxis illumination (OAI), and optical proximity correction. Up to now, these techniques have allowed
*Corresponding author. Tel.: +49-9131-731103; fax: +4989-2347-13129. E-mail address: ernst.richter@infineon.com (E. Richter).
lithographers to use single-layer resists (SLRs) as the most cost-effective resist solution in manufacturing. However, the next upcoming nodes in semiconductor lithography make even more stringent demands on the lithographic process. It is still questionable, if SLRs will meet these requirements because of the continual conflict of balancing the need for efficient etch resistance (i.e. resist thickness) with the demands of productionworthy depth-of-focus (DOF). To overcome these issues, thin-film imaging (TFI [2]) resist schemes (Fig. 1) have been introduced, i.e. top surface imaging (TSI [3]), bilayer resists (BLR [2]) and chemical amplification of resist lines [4] (CARL). With the use of these techniques, the DOF is increased because only very thin imaging layers have to be exposed. Etch resistant structures with vertical sidewalls and high aspect ratios are obtained after dry development in oxygen plasma, using the silicon-containing imaged layer as an etch mask. The TSI technique provides the lowest process complexity because only one imaging layer is used, the surface of which is silylated in the exposed areas. However, TSI is known to suffer from low silylation contrast which results in considerable line-edge roughness (LER) after dry development [5]. At the expense of an additional layer, the BLR
1369-8001/03/$ - see front matter r 2002 Published by Elsevier Science Ltd. PII: S 1 3 6 9 - 8 0 0 1 ( 0 2 ) 0 0 1 0 7 - 5
292
E. Richter et al. / Materials Science in Semiconductor Processing 5 (2003) 291–299
Fig. 1. Comparison of three TFI technologies (process flows).
Fig. 2. Advantages of three TFI technologies.
Fig. 3. Central CARL process steps (left) with the corresponding SEM pictures (right).
technique uses silicon-containing imaging resists without the need for a silylation step. The latent image becomes ‘‘digitized’’ after wet development and therefore LER is significantly reduced. But, for imaging performance reasons, only limited amounts of silicon can be incorporated into the imaging resist. Therefore, an adequate resist thickness is still required. In the CARL
process, the latent image is first ‘‘digitized’’ by wet development of the imaging resist, followed by a liquidphase silylation step. Extremely high silicon contents are thus obtainable offering the use of ultra-thin resist layers in the imaging step. Moreover, this step allows shrinkage of contact hole structures and widening of resist lines (‘‘chemical biasing’’). The advantages of TFI with
E. Richter et al. / Materials Science in Semiconductor Processing 5 (2003) 291–299
293
Fig. 4. Simulated (PROLITHt) Bossung plots showing the CARL principle (k1 ¼ 0:5; NA ¼ 0:6; l ¼ 248 nm, COG).
respect to some lithographic measures are summarized in Fig. 2. This paper discusses the advantages of the CARL concept on leading-edge lithography.
2. The CARL process The CARL scheme was introduced by Sebald et al. [6] in 1990. The i-line version has been used for years in high-volume DRAM and logic IC production for patterning sub-half micron features over severe topography [7]. As shown in Fig. 1 the present chemically amplified DUV approach uses a 100–200 nm thin methacrylatebased photoimaging layer coated on top of a 400– 800 nm thick novolak-type bottom coating. The image is developed using standard developers as currently employed in production lines (i.e. TMAH). The developed resist patterns are treated on standard equipment at room temperature with a nontoxic silylation solution, resulting in a time-controllable widening of resist lines, called CARL (chemical amplification of resist lines) process (Fig. 3). The silylation step is of major importance for both, image ‘‘control’’ and image transfer. The controllable vertical and lateral image widening (‘‘chemical biasing’’) significantly increases the process latitude. Fig. 4 depicts a Bossung plot (focus-exposure matrix at fixed CD on mask) which captures important lithographic parameters. The variation of line width (CD) is plotted as a function of focus for different exposure energies (simulated by PROLITHt). The lithographic useful dose is defined by its ability to equally print structures that are nominally equal on the
mask. At least for highest resolution patterns the isofocal line (i.e. dose that shows maximum DOF) is found in the overexposed regions. This means that the resist patterns are smaller than the target dimensions on the reticle. But the CARL process offers the ‘‘chemical biasing’’ technique to shift the isofocal line to the target CD. The limits are depicted by the break down of the isofocal line at the target CD (here 160 nm). Consequently, the CARL method shows a noteworthy extended focus latitude (and also process latitude) near the optical resolution limit. Especially, for lithographic challenging contacts this shrink technology offers a potential solution. For the image transfer in the underlying layer the silylation provides the desired etch resistance (O2 etch selectivity 40:1 for etch rate versus hard baked novolak resist). Thereby, the surface of the silicon-containing structures is converted into etch resistant silicon oxide. Moreover, hardened novolak offers the well-known (gand i-line lithography) etch resistance for the following substrate dry etch. Since nowadays SLRs are widely processed using antireflective coatings (ARCs), the bilayer approach is not really a drawback with respect to process complexity. However, the separate silylation and the extra etch step in the CARL route are additional process steps. But drawing in the extraordinary high etch resistance and the image biasing concept, accurate pattern transfer to the substrate is clearly improved compared to standard SLRs. However, the ultimate selection of a photoresist process, whether conventional SLR processing or an alternative technology, like CARL, will depend on the achievable patterning resolution and process latitude,
E. Richter et al. / Materials Science in Semiconductor Processing 5 (2003) 291–299
294
3. Lithographic considerations
provide more insight into the real problems associated with lithography at the optical resolution limit. Firstly, the DOF, which defines the total range of focus which can be tolerated to keep the resulting printed features within a variety of specifications (such as line width, sidewall angle, and resist loss)
3.1. Background
DOF ¼ k2
In general, lithographers try to extend the life of a generation of exposure tools by pushing them to print the smallest possible resolutions. A theoretical estimate of the limits of pattern printing can be given by the Rayleigh Criterion for Resolution
where k2 is again an empirical constant. The DOF problem is the major physical limitation for SLRs in optical lithography, which require a minimum resist thickness to ensure both coverage of the topography and sufficient etch resistance. Secondly, the exposure dose latitude (EDL), which describes the range of exposure energies which keep the line width within specified limits (e.g. a minimum EDL of 10% is needed for a process in order to get adequate CD control). And finally, the mask error enhancement factor (MEEF) [7], which shows how reticle CD errors are translated into wafer CD errors
robustness toward subsequent etching steps, line width control, ease of integration into current fabrication environments, yield, and ultimately cost.
CD ¼ k1
l ; NA
where the resolution limit (critical dimension, CD) is related to the irradiation wavelength l and the numerical aperture NA of the projection lens. By simplicity, the empirically determined k1-factor is a very practical measure for the resolution limit. The smaller k1 ; the better the resolution at given illumination conditions (NA, l). However, the Rayleigh criterion assumes that the degradation of CD results entirely from diffraction, k1 does not give an insight into the real difficulties associated with lithography at the optical resolution limit. Three measures are presently used to
l ; ðNAÞ2
MEEF ¼ m
qCDwafer ; qCDreticle
where m is the reduction ratio of the lens, usually 4 or 5. Since the optimum conditions for DOF will usually be found at different than the optimum for MEEF, DOF
Fig. 5. Comparison of simulated (PROLITHt) DOF for CH between SLR and CARL (100 nm CD bias, 0.6NA/0.65PC, l ¼ 248 nm, COG).
Fig. 6. Dry developed 175 nm CH half-pitch at best dose (patterned with a 0.60NA/0.65PC 248 nm stepper and COG reticle).
E. Richter et al. / Materials Science in Semiconductor Processing 5 (2003) 291–299
295
Fig. 7. Deep trench patterns after development, silylation, and transfer etch (patterned with a 0.60NA/0.75PC 193 nm scanner and HTPSM reticle).
Fig. 8. Wet developed 110 nm LS half-pitch at best focus (patterned with a 0.63NA/0.85 and 0.55PC 193 nm scanner and COG reticle).
has to be balanced with MEEF in order to achieve best CD uniformity over a required focus range.
3.2. Results and discussions The impact of silylation bias on the DOF of contact holes (CH) is shown in Fig. 5 (simulated by PROLITHt). For example, using a binary chrome-on-glass (COG) mask at 248 nm (0.60NA), a SLR shows almost no DOF for dense CHs at 175 nm. In contrary, a DOF of about 1.0 mm is predicted for this CD target with CARL by applying a 100 nm overexposure followed by a silylation shrink of the same magnitude. The simulation results are in good agreement with the experimental results (Fig. 6). With a 248 nm stepper (0.60NA/0.65PC) and a binary COG reticle 0.175 mm CH half-pitch were printed at a maximum DOF of 1.2 mm. The process window examining the size of its focus-exposure matrix resulted in an EDL of 14% for 0.5 mm DOF. This contact half-pitch corresponds to a k1-value of 0.42, which will translate into 140 nm CH at pitch 280 nm for 193 nm (0.60NA). Worth mentioning that standard SLR technology is limited to 0.27 mm CH natural resolution (k1 ¼ 0:66) [8] using same reticle and illumination conditions (NA ¼ 0:6; l ¼ 248 nm). However, with RETs as men-
Fig. 9. 30 nm CH etched in 633 nm oxide (patterned with a 0.60NA/0.65PC stepper and COG reticle).
tioned above, both technologies unaffectedly should offer better ultimate resolution. Fig. 7 depicts 150 nm deep trench patterns after development, silylation, and dry development. The transfer etch into the underlayer shows vertical profiles at high aspect ratio. Chemical bias of 50 nm was used to reach the target CD after dry development. The
296
E. Richter et al. / Materials Science in Semiconductor Processing 5 (2003) 291–299
Fig. 10. Dry developed 450 nm CH and 50 nm separator (patterned with a 0.60NA/0.65PC 248 nm stepper and COG reticle). Fig. 12. XSEMS for a CH row with decorated side-lobe printing after silylation (l ¼ 248 nm, HTPSM).
Fig. 11. Simulated (PROLITHt) MEEF showing the CARL principle (dense 23075 nm CH on mask for different target CD’s on wafer; 0.65NA/0.50PC, l ¼ 248 nm, HTPSM).
lithography was done on a 193 nm scanner (0.60NA/ 0.75PC) with an half-tone PSM reticle. Using the same tool type, but COG mask and OAI, dense lines could be resolved down to 110 nm half-pitch (Fig. 8). Using the present DUV CARL technology and today’s most advanced plasma etch tools and processes for dry development and oxide etch, 30 nm CH as deep as 633 nm could be generated in oxide [9] (Fig. 9)––even a smaller size than the 70 nm road block considered in 20111! Photolithography was performed on a 0.60NA/ 0.65PC 193 nm microstepper using a binary COG reticle. Moreover, as another extreme, over-dense 450 nm contacts with 50 nm separator could be realized with 248 nm stepper and same mask type and exposure settings (Fig. 10). Traditionally, a very practical metric of resolution is defined using the so-called mask linearity concept [10]. As lithography for manufacturing continues to push 1 The International Technology Roadmap for Semiconductors, 1999.
towards its ultimate resolution limits, linearity is playing a decidedly different role in defining the capabilities of low k1 imaging. The MEEF was introduced to describe more precisely the interplay between exposure unit, reticle, and resist at the linearity limits. Regions where the MEEF is significantly greater than 1 are regions where mask error may come to dominate CD control on the wafer. It is known that questions like process conditions, illuminator settings, and resist type influence the MEEF [11]. Here, only the impact of resist is discussed. As shown in Fig. 11 the MEEF is desirable low in the overexposed regions (e.g. for 170 nm contacts the MEEF is about three with CARL instead of around five for SLR). CARL allows photo-patterning at low MEEF (i.e. CD resist>CD target), followed by a shrinkage to target CH diameter without worsening the MEEF. The variation of the MEEF with CH diameter was simulated (PROLITHt) for dense 230 nm CH on a 6% transparent half-tone phase-shifting mask for 248 nm (0.65NA/ 0.50PC) exposure. A 75 nm mask CD error was taken into account. The Raleigh criterion for resolution, assumes the degradation of resolution results entirely from diffraction, i.e., the lenses are free from aberrations and imperfections. However, real optical systems are never perfect, and for accurate lithographic performance these deviations from perfection need to be minimized. Using attenuated PSMs the effect of side-lobe printing that worsens with aberrations [12,13] can be used for verification. For short silylation times, the CARL process is sensitive towards exposure dose fluctuations. Regions with higher light intensity show stronger silylation, i.e. increased film thickness increase. This allows analysis of imperfections simply by monitoring
E. Richter et al. / Materials Science in Semiconductor Processing 5 (2003) 291–299
297
Fig. 13. Simulated (PROLITHt) aerial image of a CH row with coma-type aberration (l ¼ 248 nm, HTPSM).
Fig. 14. Modified CARL scenario for isolated contacts (invest reduction by extending technology lifetime).
film thickness changes (e.g. by atomic force microscopy). In Fig. 12a row of CH is shown after silylation. The unsymmetrical film thickness around the holes indicates light intensity variations caused by the optics. The corresponding arial image was simulated (PROLITHt) for a coma-type lens aberration (Fig. 13).
4. Use of thin-film imaging in manufacturing During product development surface imaging has been used to extend the capabilities of the current generation of optical lithography tools while allowing time for the newer tools to mature [14]. CARL as a thin-film shrink technology breaks natural resolution limits and extends technology lifetimes. A modified CARL scenario with respect to investment costs is shown for isolated contacts in Fig. 14. Based on
the experimental k1 (=0.42) value for CARL and the theoretical k1 (=0.66) value for SLR [8] including a constant RET, one can estimate a benefit: For 150 nm contacts in year 2002 one can further use existing exposure equipment (248 nm tool) in combination with CARL. The alternative is buying a first kind of the art and more expensive exposure machinery (193 nm) in combination with SLR technology. Hence, the benefit increases, the more rapidly the mark-down of the new 193 nm tool. Multilayer resist techniques and TFI processes are more complicated than conventional, single-layer, aqueous-developed resist techniques but have unique advantages. The improved DOF and resolution, insensitivity to topography may, in certain applications, make them worth the added complications. The dry development processes allows very high aspect ratio resist patterning. The outlined advantages must be weighed
298
E. Richter et al. / Materials Science in Semiconductor Processing 5 (2003) 291–299
Fig. 15. XSEMs of CARL-patterned low k OxD with single (left) and dual damascene (right) module.
against the additional complexity of these alternative process. The equipment necessary for processing is commercially available, and some of the nontraditional steps as oxygen plasma etch are becoming more familiar to the lithographer because organic antireflective layers require similar processes. Also the silylation step which has no equivalent in the SLR processing, can be installed on standard development tracks by substituting developer and detergent. In addition, the cost of implementing TFI processes can compare favorably with that of conventional processing if the cost of additional processes to compensate for the deficiencies of SLR (e.g. ARCs, etch hard masks) is considered [15]. Schmid et al. [16] emphasized the compatibility of the CARL process to their low k material, named OxD. Substituting the bottom layer by their organic dielectric avoids cost intensive hard mask processing (Fig. 15). An integration schemes which need the ability to planarize over topography and to decouple substrate reflectivities, such as dual damascene via levels. A general consequence of the reduction of the minimum feature size following the ITRS roadmap has been a decrease of the film thickness of SLR in order to provide a sufficient lithographic process window. This continuous trend towards thinner films will by and by reach the dimension of TFI. The critical aspect ratio for pattern collapse (CARC) that demands thinner resist schemes is more relaxed in bilayer approaches. The dry developed patterns seem to be less susceptible towards fall over [17]. Moreover, ultra-thin-film defects like pinholes should be healed by silylation. Besides, the LER should be reduced significantly during silylation which levels roughness; one critical issue that delays the progress in 193 nm SLR processing. So far TFI technologies have found limited usage outside research environment. However, as already mentioned, the CARL process has been used at Siemens in production and successfully enabled aggressive cell size shrinking of the 4 MB DRAM. Texas Instruments has employed the DESIRE process, a TSI scheme, in the early production and qualification of a 16 MB DRAM
device [18]. The proven manufacturability should ease the implementation in production lines. In closing it has to be pointed out that the CARL concept has already entered future technologies, like 157 nm (VUV) [19] lithography, and next-generation lithography (NGL) technologies like extreme ultraviolet (EUV) lithography and ion projection lithography (IPL). For mask production and electron-beam directwrite (EBDW) CARL has achieved great interest. Incidentally, a negative-tone CARL alternative is also under investigation [20].
5. Summary Many of the advantages of the i-line version which has been running in high-volume production for years could substantially be transferred to the DUV CARL. The ‘‘chemical biasing’’ concept significantly extends the natural resolution limit compared to standard SLR solutions. This results in a strong enhancement of process windows especially at the highest resolution (k1 p0:4). The process was investigated with respect to several important lithographic key factors, like DOF, EDL, and MEEF. CARL is the only process that combines DOF enhancement because it is a TFI scheme, and MEEF reduction as it employs the chemical biasing concept. The CARL concept has already entered future and NGL technologies, like VUV, EUV, IPL, and EBDW. This strongly underlines the unbroken interest in this shrink technology. We believe that a bilayer CARL is an attractive approach towards a complete lithography and etch solution even for sub-100 nm GR device fabrication.
Acknowledgements The authors wish to thank the resist and process team and the litho team from Infineon Technologies AG for support.
E. Richter et al. / Materials Science in Semiconductor Processing 5 (2003) 291–299
References [1] Ito H. Lithography. In: The International Technology Roadmap for Semiconductor Industry Association, 1996; Proc SPIE 1999;3678:2–13. [2] Lin Q, Katnani A, Brunner T, DeWan C, Fairchok C, La Tulipe D, Simons J, Petrillo K, Babich K, Seeger D, Angelopoulos M, Sooriyakumaran R, Wallraff G, Hofer D. Proc SPIE 1998;3333:278. [3] Coopmans F, Roland B. Proc SPIE 1986;631:34. [4] Hien S, Czech G, Domke W-D, Raske H, Sebald M, Stiebert I. Proc SPIE 1998;3333:154. [5] Irmscher M, Butschke J, Hoefflinger B, Letzkus F, Ochsenhirt J, Reuter C, Springer R, Elian K, Kragler K. Proc SPIE 2000;3997:362. [6] Sebald M, Leuschner R, Sezi R, Ahne H, Birkle S. Proc SPIE 1990;1262:528. [7] Maurer W. Proc SPIE 1996;2884:562–71. [8] Mack C. Microlithography World, Autumn 1998 Pennwell. pp. 10–13. [9] 196th Meeting of The Electrochemical Society, Inc. Honolulu, Hawaii, October 17–22, 1999. [10] Mack C. Microlithography World, Winter 1999 Pennwell, pp. 11–12. [11] van Schoot J, Finders J, van Ingen Schenau K, Klaassen M, Buijk C. Proc SPIE 1999;3679:250. [12] Brunner T. IBM J Res Dev 1997;41(1/2):57–67. [13] Otaka A, Kawai Y, Sakakibara Y. Proc SPIE 1997;3096:298–307. [14] Sheats JR, Smith BW. Microlithography: science and technology, vol. 4346. Marcel Dekker, New York 1998. p. 607. [15] Franzen R, Grassmann A, Kirschinger M, Schedel T, Wiedenhofer H, Witte M. Proc SPIE 1998;3333:860. [16] Schmid G, Sezi R, Lowak K, Radlik W. Semicond Fabtech 2001;12:231. [17] Halle S, Thomas A, Armacost M, Dalton T, Chen XL, Bukofsky S, Genz O, Lu Z, Hadel L, Chen Z, Ferguson R, Coker E, Leidy B, Lin Q, Mahorowala A, Babich K, Petrillo K, Angelopoulos M, Ignatowicz M, Bui B. Proc SPIE 2001;4346:970. [18] Carza C, Catlett D, Jackson R. Proc SPIE 1991;1466:616. [19] Hien S, Czech G, Domke W-D, Richter E, Sebald M, Stiebert I. J Photopolym Sci Technol 1999;12(4):673. [20] Richter E, Elian K, Hien S, Kuhn . E, Sebald M, Shirai M. Proc SPIE 2000;3999:91.
Ernst Richter received his M.Sc. in Materials Chemistry from the University of Kent at Canterbury and his M.Sc. in General Chemistry from the University of Regensburg in 1997, where he was working on nanostructured inorganic materials. In 2000 he received his Ph.D. in General Chemistry from the University of Regensburg. In collaboration with Infineon Technologies AG he was working on chemically amplified resist systems. Since joining Infineon Technologies AG in 2000, he has been engaged in the development of the advanced resists processes and their implementation into
299
production lines. Currently he is working as a project manager in the Memory Products, Polymer Materials and Technology department with the focus on resist roadmaps and benchmarking. Michael Sebald (born 1954 in Bad Kissingen, Germany) received his M.Sc. and Ph.D. in inorganic chemistry from the University of Wurzburg. . He joined the Siemens Corporate R&D center in Erlangen in 1985 and started with the development of multilayer resist systems. As a senior manager in the Infineon memory products division he is now responsible for the development of advanced resist systems for leading-edge lithography and process transfer into production. Linda Chen received her Ph.D. degree from the University of Rochester in 1998, where she was working on electroactive and photoactive supramolecular polymer systems. After graduation she joined the Polymer and Organic Materials Research Department at Bell Labs as postdoctoral fellow, where she was responsible for design and evaluation of self-assembled organic and polymeric materials for electronic and optical device applications. Since joining Infineon Technologies AG in 2000, she has been engaged in research and development of lithographic processes for DRAM applications. G.unter Schmid received his Ph.D. degree from the University of Ulm (Germany) in 1993, where he was working in the field of organometallic chemistry and Xray crystallography. In 1994 he joined Prof. F.A. Cotton at the Laboratory for Molecular Structure and Bonding at the Texas A&M University for a postdoctoral fellowship. In 1996 he moved to Corporate Research of Siemens AG, where he was developing dielectrics for buffer-coat, multichip module and on-chip interlayer/ intermetal applications. In April 1999 Infineon Technologies AG evolved from the semiconductor division of Siemens AG. Currently he is working as a project manager in the Memory Products, Polymer Materials and Technology department. His current focus lies both on the integration and process development of low k dielectrics into copper damascene architectures and electrically conducting polymers. G.unther Czech (born 1958 in Wurzburg, . Germany) received his M.Sc. in physics from the University of Munich. He joined the Siemens semiconductor division in 1985, where he was responsible for resist technology. Currently, he coordinates the CARL and other Lithoprojects for implementation into production as a senior manager within Infineon Technologies AG.