MEMS Lithography

MEMS Lithography

Chapter 20 MEMS Lithography Sami Franssila1 and Santeri Tuomikoski1,2 1 Department of Materials Science and Engineering, Aalto University, Espoo, Fi...

1MB Sizes 4 Downloads 205 Views

Chapter 20

MEMS Lithography Sami Franssila1 and Santeri Tuomikoski1,2 1

Department of Materials Science and Engineering, Aalto University, Espoo, Finland, 2Currently at Murata Electronics, Vantaa, Finland

Optical lithography is the mainstay of patterning in MEMS: 1X contact/proximity exposure, and reduction step-and-repeat systems constitute the bulk of all patterning steps. The former are prevalent in small volume and academic settings while stepper/projection lithography is used in high volume manufacturing on large wafer sizes. MEMS patterning is not driven by resolution improvement as is IC lithography, but rather by large depth of focus (DOF), thick resists, double side alignment, process robustness, etch resistance and the special needs of 3D structures. This chapter discusses lithography in five sections: 1. issues to be answered before wafer processing begins; 2. issues during lithography process; 3. photoresist behavior in the process steps after lithography; 4. thick resist lithography; 5. specialty patterning applications.

20.1 LITHOGRAPHY CONSIDERATIONS BEFORE WAFER PROCESSING Before the first wafer is exposed, many decisions regarding the patterning process have been fixed. These include mask design, and the actual fabrication of physical mask plates which have to be consistent with the chosen photoresist. Layout design must take into account lithographic alignment tolerances, and the layout design rules concerning minimum lines and spaces, required overlaps, recommended and/or forbidden shapes, etc. Alignment marks must be designed in the photomasks, and the sequence of alignments fixed for all the process steps. Because linewidths are usually not an issue in MEMS devices, photomasks for contact/proximity 1X exposure tools are reasonably priced: 500 h/$ is typical for 5 in. soda lime masks with 2 3 µm minimum lines. Mask size is usually 1 in. larger than the wafer size: 100 mm wafers (4 in.) are exposed with 5 in. mask plates. If linewidths

are smaller than 2 µm, quartz masks must be used instead of soda lime, and the price will be higher. Larger plates are more expensive, for example, a 7 in. soda lime mask costs ca. 1000 h/$. Mask price may go up considerably depending on extra services, or quality guarantees: if 100% checking and defect repair is required then prices tend to be much higher. Prices will vary according to defect specification; for example, # 2 defects/in2 (dpsi) larger than 2 µm will be cheaper than 0 dpsi specified mask. Similarly critical dimension (linewidth) tolerances can be made tighter or looser, for example, 6 0.15 µm or 6 0.50 µm. Masks for reduction steppers (a.k.a., DSW aligners) are called reticles. Normally patterns on reticles are scaled 5X (other scaling factors are less common). Scaling allows easier and hence lower cost mask fabrication but limits the maximum area of processed devices, typically to chip size 20 3 20 mm2 on wafer. There are special alignment marks reserved for the stepper: they are located outside the chip area and they are not copied on the wafer. It is also possible to use cheap plastic masks (polymer films) of the type used in the printed circuit board industry. These film masks are fast to make and cost a few tens of euros/dollars. Minimum feature size is typically in the range of 20 50 µm. For research purposes this is adequate in many cases for non-critical levels like bonding pads or fluidic ports. In addition to poor resolution, these masks have much worse line edge roughness than chrome masks. Their opaqueness in UV needs to be checked especially for thick resists/long exposure times. Film masks have also poor stability against humidity, meaning tighter process control is needed. Several mask design softwares are available from simple freeware for university systems to full scale professional sets. It is important to understand the output format of the software: not all mask shops accept all design formats. GDSII, the standard format from integrated circuit

Handbook of Silicon Based MEMS Materials and Technologies. DOI: http://dx.doi.org/10.1016/B978-0-323-29965-7.00020-8 © 2015 Elsevier Inc. All rights reserved.

427

428 PART | IV Micromachining Technologies in MEMS

design is widely accepted. Other common formats include Autocad DXF, HPGL and Gerber. Conversion between formats is possible, but it is often a source of errors or dimensional roundings. Overviews of photomask technology are given in Refs. [1,2].

TABLE 20.1 Some Widely used Photoresists Material

Polarity

Thickness

Exposure and Applications

AZ series

Positive

1 100 µm

UV-exposure general purpose, electroplating, etching mask

S series

Positive

1 50 µm

UV-exposure general purpose, electroplating, etching mask

PMMA

Positive

up to mm

X-ray and e-beam exposures lift-off, electroplating

THB series

Negative

5 100 µm

UV-exposure electroplating, etching mask

SU-8

Negative

up to mm

UV, X-ray and ebeam exposures electroplating, etching mask, structural components for microfluidics and MEMS

Polyimide

Negative

1 50 µm

UV-exposure electroplating, etching mask, structural components for microfluidics and MEMS

Dry film

Negative

50 100 µm

UV-exposure electroplating, etching mask, structural components for microfluidics and MEMS

20.1.1 Photoresist Selection Resist polarity, negative or positive, and mirroring needs to be specified in conjunction with mask order. Mask design can be converted to either polarity by the mask shop irrespective of the way drawing is done, and once resist polarity is fixed, mask polarity will follow. The resist type should be considered based on the requirements in the lithography step, such as resistance for plasma etching, adhesion in wet processes, or for some special requirements, such as sidewall angle. Positive photoresist chemistry defines that they are not mechanically very strong, but they can easily be stripped away. Negative resists have opposite properties: some of them are mechanically very strong, but hard to remove. Therefore those are not necessarily good for patterning but can be used as such as structural materials in MEMS components. Negative photoresists are based on many different chemistries, for example, bisazide, epoxy and polyimide materials have been used as negative photoresists. The crosslinking density of the material is defined by the chemistry of the monomers which also defines the properties of the final structure. With high crosslinking density mechanical strength of the materials increases and high aspect ratios can be achieved, assuming correct exposure. In addition to the basic positive/negative choice, resist selection can depend on, for example, sensitivity (photospeed), resolution, exposure wavelength (i-line 365 nm, h-line 405 nm, g-line 436 nm), exposure latitude, contrast, solvent safety (harmful cellosolve acetate and xylene are used in many older generation resists, but modern resists have, e.g., MEK or PGMEA as the solvents) or glass transition temperature (typically in the range of 100 150 C for positive resists, but can go above 200 C for negative and liftoff resists). The process step following lithography partly determines resist choice: vertical sidewalls are good for plasma etching; negatively sloped sidewalls (a.k.a., retrograde) are suitable for lift-off; and positively sloped resist walls are suitable for wet etching or as a mold for a casting process. Positive resists typically have 75 85 sidewall angles while negative resists tend to display sidewall angles over 90 (a.k.a., retrograde profiles). Some widely used photoresists are shown in Table 20.1 as an example of materials. Resist manufacturers usually provide a series of resist formulation that cover a wide thickness range using the same basic chemistry (and same developer): for example,

AZ series with 1505, 1512HS, 1514, 1518, 1529 have corresponding thicknesses of 0.5, 1.2, 1.4, 1.8, and 2.9 µm at 4000 rpm spin speed (and viscosities ranging from 6.3 to 80 cSt at 25 C). Similarly negative resists SU-8 2005, 2010, 2015 have thicknesses roughly corresponding to 5, 10, and 15 µm (and viscosities of 45, 380, and 1250 cSt, respectively). In addition to different thicknesses, these formulations can be optimized relative to thermal stability, wet etch adhesion, exposure speed: HS for High Speed, lift-off (LOR) or other properties. Shelflife of resists is typically 6 12 months under proper storage conditions; but resist spinned on a wafer should be exposed within hours, to avoid water absorption and loss of sensitivity.

MEMS Lithography Chapter | 20

20.2 WAFERS IN LITHOGRAPHY PROCESS In this section the process sequence of lithography is described in a chronological fashion. Table 20.2 gives an outline of a prototypical positive resist process, with some values for process parameters, which will be discussed in more detail later on. Comprehensive overviews of photolithography can be found in Refs. [3 6] with the older ones still highly relevant for contact/proximity lithography practiced in the MEMS community. Review articles on lithography that are of MEMS interest concentrate mostly on thick resists [7 10].

20.2.1 Dehydration Bake Cleaning steps are performed to provide known starting conditions, irrespective of previous process steps or waiting period. Dehydration bake removes adsorbed water vapor, and in itself ensures better adhesion but priming, a special adhesion promotion step, is often performed. Vapor or dip coating of HMDS will keep moisture away and ensure that hydrophobic resist will adhere to wafer. One popular resist, SU-8, does not require priming, while most resists do.

spray, but usually resist thickness uniformity with spray is inferior to spin coating. In spin coating optimized processes can have 0.1% uniformity for thin resists planar wafers and a few percent can be achieved for tens of micrometers thick resists. Photoresists are typically comprised of three major components (PMMA is an exceptional one-component resist): 1. base resin, for example, novolak, bisazide or epoxy; 2. photoactive compound, for example, DNQ (diazonaphtoquinone) or hexafluoroantimonite salts; 3. solvent, for example, MEK (methyl-ethyl ketone), PGMEA (methoxy-propyl acetate). Base resin determines mechanical and chemical properties like adhesion, solubility in developer, temperature stability and etch resistance. The photoactive compound is responsible for spectral absorption behavior and resist sensitivity, and, therefore, pattern contrast and resolution. The solvent modifies viscosity and evaporation, and is of tantamount importance in spin coating. Photoresist thickness after spincoating is determined mainly by resist viscosity (η) and spin speed (ω) (Eq. (20.1)): 1

20.2.2 Resist Application 20.2.2.1 Spin Coating Spin coating dominates standard resist application, but spray coating has advantages in coating highly 3D profiles. Wet etched 54.7 angles can be better coated by

TABLE 20.2 Standard Lithography Process Step

Values for 1.5 µm Thick AZ Resist

Dehydration bake

Oven, 30 min, 100 C

Priming

Hexamethyldisilazane (HMDS)—vapor coating

Photoresist application

Spincoating, 1.5 µm thick, 5000 rpm

Edge bead removal

(optional)

Soft bake

90 C, on hot plate, 1 min

Alignment

Machine specific

Exposure

50 100 mJ/cm2 (Hg lamp)

Post exposure bake

Not required

Development

1 min, 1% TMAH, 20 C

Hard bake

110 C, hot plate, 1 min

Post treatments

(optional)

429

1

tBη /2 ω2 /2

(20.1)

Using one formulation, spin speeds from 500 to 5000 rpm result in a factor of three thickness change; in order to span a larger thickness range other formulations are needed. These can be prepared by resist thinning (viscosity decrease) but because the solvent is responsible for evaporation rate and drying behavior, and therefore film quality, it is not necessarily easy. For instance, MEK and PGMEA evaporation rates are different, and result in different drying rates. Spin coated liquid should theoretically end up with a planar horizontal surface. However, for various reasons this is not always the case. The surface tension of liquid can cause deviation from planarity, and if there are large etched recesses on the wafer, these will not be perfectly planarized (Figure 20.1). Due to solvent evaporation, the resist dries faster on the outer rim of the spin coated wafer. This leads to bumps known as edge bead. For example, in mask oxide etching before KOH silicon etching it is advantageous that the resist covers the edges of the wafer well, leaving oxide on the edges. This improves the mechanical stability of the wafer which is otherwise compromised by KOH attack during extended etching. Most often, however, edge bead needs to be removed. This is usually done by solvent spraying of wafer edges after coating, in a process dubbed EBR, for edge bead removal. It can be done either automatically or manually (by reducing the spinning

430 PART | IV Micromachining Technologies in MEMS

Edge beads

Decline

Resist Substrate (a)

Resist spinning over topographies Removed edge beads

Resist

Substrate (b)

Resist spinning + edge bead removal + optimized soft bake Spacer ring

Resist

Substrate (c)

Resist casting over topography Resist

Substrate (d)

Dry resist laminated over topography

Resist Substrate (e)

Spray - coated resist over topography

FIGURE 20.1 Schematic views of resist application over topographies on substrate. (a) Standard spincoating. (b) Optimized spincoating process with edge bead removal. The photomask can be brought to direct contact with mask. (c) Resist casting using spacer ring. (d) Dry film lamination does not flow inside the cavity. (e) Conformal resist over cavity by spray coating.

speed to a speed that is not anymore making the resist layer thinner and dispensing solvent to the edge of the wafer). Alternatively edge beads can be mechanically wept away. Typically EBR removes resist from ca. 1 cm edge rim. This area is of course lost, but chip yield at the very edges would be low anyway.

20.2.2.2 Spray Coating Spray coating overcomes the cavity depth problem in MEMS lithography. While spin coated resist thickness can change by a factor of two in an etched recess, spray coated resist has a nearly conformal coverage over wet etched geometries [11,12]. Additionally, spray coating is insensitive to cavity shape and position on a wafer, factors which result in even more non-uniform spin coated films. Spray coating is done on a slowly rotating wafer (,100 rpm) to ensure uniform coverage of structures of different orientations. While not truly conformal, spray deposited resists are superior to spin coated resists over severe topography, with 10% uniformities achievable. Problems in spray coating have to do with viscosity optimization: low viscosity (,20 cSt) is needed for proper droplet generation and smooth layers, but such resists will also flow more easily to fill recesses. Resist choice and machine parameters such as atomizer pressure and angle will affect results.

20.2.2.3 Dry Film Resists One technique which is of considerable interest in MEMS applications is laminate resists (dry film resists). They come as sheets of negative acting material without solvent, with thicknesses usually in the 20 100 µm range. Thicker layers can be easily obtained by multiple layers because there is no solvent to be removed, and therefore no baking steps. Some examples include SU-8 3000 [13], Etertec HQ-6100 [14], DuPont MX5000 [15]. These are laminated on top of substrates by hot roll lamination. The main advantage of dry film resists is that resist thickness is independent of underlying topography. Resolution is not as good as with spin or spray coated resists, but the simplicity of application is a major advantage. In particular, structures where prefabricated grooves and cavities need no further patterning, dry resists provide and are an easy way to overcome problems with severe topography. Dry film resists are typically limited to non-critical applications, with 1:1 to 3:1 aspect ratios (20 50 µm minimum linewidths).

20.2.2.4 Casting As an alternative option for thick photoresist application casting has been used [16]. Then the thickness is defined by spacer ring and the material is cast between two plates. The spacer ring is placed on top of the substrate and normally fixed, for example, by mechanical clamping. The spacer ring thickness defines the thickness of the resist layer. This method is suitable especially for extremely thick, millimeter, layers. The layer thickness is accurately defined, but one should have specially made spacer rings for each desirable thickness.

MEMS Lithography Chapter | 20

20.2.2.5 Other Techniques Truly conformal resist coverage even in the corners of deep reactive ion etched (DRIE) grooves can be obtained by electrodeposited resists (e.g., PEPR 2400ED and Eagle 2100 ED) [16]. This is the main advantage, but a major limitation is the requirement of a conductive substrate, which means that the techniques are only applicable to certain process steps. There is also limited availability and support for ED resists and infrastructure, like electrochemical cell designs.

20.2.3 Soft Bake Soft bake (pre-bake) removes solvent and the resist is dried before it is loaded into mask aligner. Optimizing the drying process ensures that resist thickness is uniform across the wafer, and the resist has low mechanical stress and is free of cracks. If removal of solvent is inadequate during soft bake, gas bubbles may be generated during exposure. It is worth noticing that the most commonly used photoinitiator DNQ releases gaseous nitrogen during exposure. However, the amount is relatively small and in thin resists nitrogen diffuses easily without bubble formation. However, when exposing thick layers, bubble formation is a potential problem. Soft bake time increases as a function of resist thickness: 4 µm SU-8 is soft baked (95 C) for 2 min, 40 µm resist requires 5 min. Soft bake temperature is important because too high temperature degrades the photoactive compound and leads to loss of sensitivity (exposure time needs to be increased). Soft bake time is not as critical as temperature.

20.2.4 Alignment Alignment is based on specific alignment targets (a.k.a., alignment marks or keys) which are designed for this purpose and they are completely independent of device structures. This procedure eliminates feature size and shape effects from the alignment process, and enables copying of alignment structures from previous designs. Sometimes an additional zero-level alignment key needs to be fabricated because, for example, diffusion as the first step does produce any contrasting structures that could be seen in optical microscopes. Usually silicon or silicon dioxide etching is used to form the zero-level keys (Figure 20.2). Even if alignment is correctly performed, overlay of mask layers may be imperfect. During mask making a number of errors can take place: misplacement of structures, various distortions, and in projection lithography optical imperfections of the imaging optics can cause image distortions, etc. It can also happen that the masks

431

of different levels might have errors in origin of coordinates. It is useful to carry out test alignment and exposure with a new set of masks before commencing wafer processing.

20.2.4.1 Double Side Alignment The backside of a SSP wafer is etched, and its roughness is in the micrometer range, limiting backside lithography to coarse structures only. Therefore double-side polished (DSP) wafers are used whenever critical structures are fabricated on both sides of the wafer. Early work on double side alignment used throughwafer etching to create small, thin membranes as alignment marks [17]. This obviously adds process complexity, and calls for careful further processing to preserve the thin membranes in the alignment marks. Machine dependent double side alignment has utilized infrared (IR) alignment through a silicon wafer. The IR method works for silicon because silicon is transparent in the IR, but alignment accuracy is limited to 5 10 µm. However, highly doped wafers are not IR transparent. Two-sided exposure has also been implemented, with the two masks aligned to each other before a wafer with resist coating on both sides is brought into the gap between the masks. Having both sides of the wafer coated by resist is standard, for protection of one side, but having both sides defect-free for patterning is demanding. The current technology of double side alignment is based on machine vision with top and bottom microscopes (Figure 20.3). The bottom microscope can detect and store the bottom alignment marks of a wafer, and this information is used with the top microscopes and the mask alignment marks. One must be careful in designing the alignment marks for double side processing not to create a mirror image of pattern by accident. Correct double side alignment does not guarantee perfectly aligned features after etching. Etching nonidealities in anisotropic wet etching can result in misalignment: for example, wafer thickness variation leads to lateral shift of edges of etched structures, or differences in crystal plane selectivities result in similar lateral shift during through-wafer etching.

20.2.5 Exposure Exposure dose of resist is affected by a number of factors, with sensitivity and thickness being the most important. As a rule of thumb, an order of magnitude increase in thickness requires an order of magnitude increase in exposure time. Typical micrometer thick AZ positive resists require in the order of 50 100 mJ/cm2 exposure dose, which translates to a few seconds exposure time with

432 PART | IV Micromachining Technologies in MEMS

Alignment mark on wafer

FIGURE 20.2 Alignment keys: standard thin resist; for thick resist.

Alignment mark on mask

Wafer

FIGURE 20.3 Double side alignment with backside splitfield microscopes. Courtesy SUSS MicroTec Lithography GmbH.

Mask

Chuck BSA splitfield microscope

Focusing and storage of mask alignment marks Mask alignment mark

Wafer alignment mark

Focusing of substrate alignment marks

Alignment

10 20 mW/cm2 from a standard 350 W mercury lamp. AZ resist 50 µm thick would then require of the order of a minute in the same tool. For negative resists exposure time depends on photoinitiator type and dose requirements can vary heavily: 2 µm SU-8 requires 80 mJ/cm2, and 40 µm 160 mJ/cm2. Contact/proximity aligners typically have four operating modes: proximity, soft contact, hard contact and vacuum contact. Resolution (line plus space) in proximity

printing is a function of exposure wavelength λ and proximity gap (g): pffiffiffiffiffiffiffiffiffiffiffiffi WB 0:7λg (20.2) This translates to a few micrometers when 1 µm thick resist and gap of 5 10 µm is used. In Figure 20.9 the etched depth of the cavity adds to the gap, and the effect on resolution is extreme. In contact mode diffraction effects are minimized and better resolution is achievable.

MEMS Lithography Chapter | 20

TABLE 20.3 Resolution in Contact/Proximity Printing Contact Mode

Resolution

Proximity

2.5 µm

Soft contact

2 µm

Hard contact

1 µm

Vacuum contact

0.7 µm

For best resolution, vacuum contact is used to minimize diffraction effects at chrome pattern edges. The more intimate the contact between the mask and the resist is, the better the resolution, as shown in Table 20.3. However, physical contact between the mask and the resist may damage the mask, and lead to printable defects in the following exposures. The resolution depends additionally on wafer flatness via uniformity of the gap across the wafer. Cleanroom humidity, temperature and vibration control also affect results via resist sensitivity and tool mechanical stability. Using thinner resist enables smaller linewidths, but with less etch resistance and higher probability of defects in resist. Uncontrolled resist thickness variation can have major effects via interference effects: for example, 50 nm deviation in nominally 1 µm thick resist can result in 50% increase in required dose (and subsequent throughput reduction). Alternatively, 20% linewidth variation can occur if dose is not corrected for thickness change. On highly reflecting substrates like aluminum or gold, the exposure dose can be halved. Similarly on glass wafers 50% dose increase is required compared to silicon. Sometimes reflections from cavities in glass wafers can cause unwanted exposure. Equation (20.2) is not valid for thick resists which are always much thicker than the exposure wavelength. Gap minimization does reduce diffraction effects also for thick resists but additionally wavelength selection (and filtering) to reduce absorption in resin plus choice of low absorption resin and high sensitivity photoactive compound are important for sidewall control [18]. Overexposure in positive resist tends to turn the profile into retrograde, while underexposure leads to shallow slope. It is customary to perform an exposure test using a blanker that allows only a part of a wafer to be exposed, for example, quarter. Different exposure times, for example, 2, 4, 8, and 16 s are then exposed and the wafer is developed and visually examined for optimum exposure time. For step-and-repeat systems focus and exposure matrix is easily generated by changing parameters siteby-site. Resist aspect ratio depends on resist contrast, spectral distribution of the lamp and light collimation, plus resist

433

mechanical strength. Resist aspect ratios of 10:1 have been achieved in AZ positive resists, and 20:1 is typical of negative working SU-8 with UV exposure, with greater than 100:1 demonstrated. Some thick negative resists which compete with SU-8 as being easily strippable, like JSR THB-151N, are usually limited to low aspect ratios, while offering high photospeeds, superior to thick positive resists [19]. Some photoactive materials like ORMOCERs are still treacle-like after soft bake, and contact with the mask is undesirable. The soft bake is mainly done to remove air bubbles from the film. The soft bake time has no effect on the viscosity of the layer. To avoid contact with the mask, exposure of such materials is to be done in proximity mode. The gap control in exposure becomes extremely critical when the structure size goes down.

20.2.6 Post Exposure Bake Post exposure bake (PEB) is different from hard bake (post bake). PEB is done immediately after exposure, to finish off the chemical processes initiated by exposure, for example, diffusion of photogenerated specie. In positive resists PEB is usually not required, but it is essential in negative resists: it finalizes the reactions started during exposure. Normally only the photoinitator reacts directly with UV-light and PEB completes the reactions with the resin. PEB can be used in a few cases to improve positive resist images. If a monochromatic (laser) exposure is used, pronounced standing waves are generated in the resist, resulting in undulating sidewall profile. The standing wave pattern can be reduced or eliminated by a PEB step which diffuses the photogenerated specie. Image reversal is the process where the polarity of a resist image is reversed after exposure. This is useful when a mask exists in one polarity, but a different polarity would be needed. Obviously, using a resist of the opposite polarity will result in the same final outcome. Using specifically formulated image reversal resists like AZ 5214E, a standard masked exposure is done, and the wafer is then baked. During this bake the special crosslinking agent reacts in the exposed areas with the photoactive compound, resulting in insoluble product. The bake temperature is 110 120 C depending on process details and it is critical to 61 C. After crosslinking a flood exposure is done, for example, 200 mJ/cm2, which results in increased solubility on the areas that were protected by the mask during the masked exposure. The resulting resist pattern is a negative image of the photomask. The negative sloped sidewalls that this process gives are useful in lift-off and the hardened resist is also more resistant in harsh plasma etching and ion implantation.

434 PART | IV Micromachining Technologies in MEMS

20.2.7 Development Development is about exposed/unexposed resist dissolution rate differences. When this ratio is high (10:1), vertical resist walls can be produced. Low ratio leads to sloped resist walls (which maybe be sometimes advantageous). Underexposure leads to resist thinning as the development speed ratio of exposed and unexposed parts of the resist is reduced. Positive resists are developed in dilute alkaline solutions, while negative resists are normally developed by organic solvents but some modern negative resists are alkaline developed. The selectivity of the developer between exposed and non-exposed areas is important for achieving accurate high aspect ratio structures. In ma-N 400 resist this ratio is 20:1, and in ma-N 1400 it is 6:1 in alkaline developers. Normally the development result is better if stirring is applied to speed up the development process. The stirring can be mechanical agitation or ultrasound. After development the wafers are rinsed with organic solvent or with water depending on the resist material. Developers for positive resists are usually dilute alkaline solutions. Sodium and potassium-based developers (known as MIB, for metal-ion-bearing), basically dilute NaOH or KOH solutions (e.g., AZ351B and AZ400K) are undesirable in fabs producing CMOS. Metal-ion-free (MIF) developers are based on TMAH, for example, AZ326MIF. Development parameters will affect linewidth and resist profile, in combination with the exposure. Positive resists have a small process window but usually high resolution, while negative resists have large process tolerance but usually poorer resolution, due to swelling in the development step. Post bake (a.k.a., hard bake) induces physical and chemical changes in the resist image. Post bake temperature is kept below glass transition temperature of the resist (typically in the range 100 150 C for most resists), to avoid thermal flow. On the other hand, post bake above Tg has been used to create hemispherical resist dots, useful as microlenses, or as masters for casting and imprinting fabrication of lenses. Even below Tg temperature, several processes take place: volatile compounds and water are desorbed, resist oxidizes, shrinks, and reactions between resist components take place. Post bake is useful for adhesion improvement after developer has attacked substrate/resist interface, because moisture is removed and the bond between resist and surface strengthened.

20.2.8 Post Treatments Post treatments include various techniques to modify resist for the following process steps. A typical treatment

would be UV-flooding, which hardens resist. This is useful for chlorine plasma etching or ion implantation.

20.3 PROCESSING AFTER LITHOGRAPHY After the resist pattern is in place, wafers can experience several different process steps, which have their own specific requirements for resists. And after wafer processing, resist removal is affected by the processes which the resist underwent. Wet etching quality depends critically on resist adhesion. Wet etchants do not etch resist in most cases, but liquid penetration between substrate and resist leads to adhesion loss. Resists have been tailored specifically for wet etching, with low molecular weight and good adhesion. The same applies to electroplating and electroless plating. Wet etching processes are mostly immersion processes where the etchant attacks both sides of the wafer. In many MEMS applications protection of backside film is essential, and there are a few approaches to this. Using plasma etching circumvents backside etching problem. Alternatively, a protective coating can be spinned on wafer backside. Photoresist is often used for convenience, but cheaper, non-photoactive polymers, can be used. Protek and CYTOP polymers are often used in harsh environments (HF, KOH) while resists are fine for more dilute etchants (e.g., BHF). Plasma etching (RIE) is usually hard on resists. Selectivities 1:1 to 10:1 are typical, limiting etched depths to a few micrometers or tens of micrometers. High density plasmas of the type used in DRIE utilize smaller bias voltages than older RIE, enabling great reduction in ion bombardment, and thus much higher resist selectivities, for example, 100:1. This enables thru-wafer etching with thick resist mask, a great simplification compared with oxide masked etching. Lift-off depends on resist profile: negative (retrograde) profile is advantageous for poor step coverage needed in lift-off. Therefore, either negative resists or image reversal resists are favored. Some manufacturers label these products LOR, for lift-off resists. These products offer good adhesion and high undercut rate, and they are used in a bilayer scheme: a thicker LOR is applied first and baked (at high temperature, 150 200 C) to optimize its development rate. A thinner imaging resist is then applied on top of LOR, exposed and developed. The high development rate of LOR will create T-shaped profile advantageous for lift-off. Positive resists with positively sloped angles can also be used for lift-off, but then metal thickness is limited to a fraction of resist thickness. With LOR double-layer structure the LOR has to be thicker than the required metal thickness but the imaging resist can be optimized

MEMS Lithography Chapter | 20

for linewidth. Using a diffuser plate to randomize exposing UV, positive resists have been used to create negative slopes suitable for lift-off [20]. Lift-off has the inherent drawback of being a dirty process: large chunks of lifted metal float around in the lift-off bath. It is also not suitable when the metal has to go over steps: poor step coverage is needed for lift-off, meaning that it is mostly used on planar surfaces only. Photoresists are simple sacrificial layer materials in surface micromechanics, suitable for both polymer/polymer and polymer/metal combinations. Resists are compatible with sputtered and evaporated structural layers [21], and suitable for electroplating as well [22]. Depending on sacrificial gap height, thicknesses of resist layer can range from a micrometer to hundreds of micrometers. Removal of resist sacrificial layers can be done either by dry methods like oxygen plasma stripping (preferred in small gap structures) or by standard wet removal. SU-8 has been used as a plating mold which can be removed simultaneously with both AZ and S-series positive resist sacrificial layers [23], even though removal of SU-8 is usually considered not a viable process option, it is practically always used a structural material in the final device. Removing SU-8 structures from a substrate a sacrificial polymer layer of PMGI has been used. This PMMAderived polymer can be etched away in dilute TMAH solution which does not attack SU-8 [24].

20.3.1 Photoresist Removal Resist removal (a.k.a., stripping or ashing) depends on resist nature and the preceeding process steps. Positive resists that have undergone room temperature processing like wet etching, pose no stripping problems. However, elevated temperatures and plasmas may make resist removal very difficult. Oxygen plasma and ozone are general purpose methods suited for most applications. They are especially good when small cavities or highly 3D structures exist on the wafer as they induce no surface tension effects. Wet removal methods include sulfuric acid, which is a very powerful oxidizing agent. Its use is limited by its chemical aggressiveness, which excludes its use after metals have been deposited on the wafers. Solvents like acetone can be used, and there are a number of specific stripper solutions, for example, amine-based. Ultrasound agitation can be used to speed up resist removal, but with resonating MEMS structures and other fragile elements its use is limited. Often multi-step resist removal must be used. For example, plasma ashing removes the carbonized top layer, and wet remover is used for the remaining resist. Alternatively, oxygen plasma cleaning step can be done after wet removal. For thick resists three-step removal of

435

trichloroethylene, acetone and methanol has been used [25]. SU-8 is notoriously difficult to remove. As a plasma etch mask thickness can be tailored so that almost all SU-8 is consumed during etching, minimizing resist removal, but this is difficult to optimize when etch loads change. Sometimes swelling and the resulting adhesion loss can be used to remove resists: polyimide has been removed by NaOH treatment [26].

20.4 THICK PHOTORESIST LITHOGRAPHY Resists may be considered thick when they are thicker than 5 µm. The distinction to thin resists comes from exposure, or more specifically, absorbance. DNQ photoactive compound in positive resists absorbs strongly, and the exposed top layers prevent exposure of the layers underneath. Continued exposure causes bleaching of DNQ (absorbance reduction by a factor of 10) which enables the bottom part of resist to be exposed. However, exposed DNQ exhibits residual absorption, which means that exposure times increase as a function of thickness. This sets a practical limit to positive resist thicknesses to ca. 50 µm. In negative resists based on photoacid generator the reactive acid molecule is produced throughout the volume of the resist, and absorbance is not affected by the exposure. Therefore thick layers can be exposed in reasonable times. Negative resists up to 2 mm thick have been exposed with UV-exposure [27]. In X-ray lithography exposure dose is usually not the limiting factor but the achievable thickness is defined by mechanical stability of the microstructures. Thick resist performance is normally judged by sidewall angle and aspect ratio. Aspect ratio is defined here by the resist structure height ratio to the structure width (or cavity width). Normally the sidewalls are not perfectly vertical and therefore the aspect ratio should be taken from the largest or from average width of the structure or cavity. Similarly sidewall angle is difficult to define, because the cross-sectional shape of the structures varies depending on the resist and exposure system type. Thick photoresists can produce in polymers structures that resemble DRIE silicon structures. In Figure 20.4 pillar arrays fabricated of epoxy photoresist SU-8 are shown. Height of the pillars is 400 µm and the pitch 80 µm (40 µm pillars with 40 µm spaces). A closer look of such pillars can be seen in Figure 20.8. In the best case the lithographic structures have clearly better structure shapes compared to DRIE structures. The bottoms of DRIE structures are often rounded while resist structures have rectangular foots. The Bosch process leaves scalloped walls in the tens or hundreds of nanometers amplitude while lithographic walls have roughness in the range of 10 nm. This is highly important in certain MEMS applications, for example, in the fabrication of optical components.

436 PART | IV Micromachining Technologies in MEMS

20.4.2 Thick Resist Application

Electroplating into high aspect ratio thick photoresist molds is a major application. Both mold master fabrication by plating as well as usage of plated structures as the final devices are practiced. With X-ray lithography the former is usual, while with UV-exposure the speed and cost allow direct fabrication of the final metal parts. References [19,22,23,25 30] discuss thick resist lithography for plating applications.

Application of thick photoresists is done, by default, by spin coating as is the case with thin photoresists. Manufacturers suggest spinning speeds for their materials, but these should be double-checked if accurate layer thicknesses are required. A normal spin process starts with a low spin speed step, to spread the resist, and then a second step at higher rpm defines the final thickness. Dispense volumes are typically 1 10 mL, with viscous resists and large wafers requiring somewhat larger dispensed volumes. Thick resists are easier to spin coat in a closed spinner bowl design. This has several reasons: ambient is better controlled, for example, minor humidity changes are overridden by saturated solvent vapors from the resist, and slow drying prevents rapid viscosity changes which might otherwise lead to radial thickness nonuniformity. In addition to solvent volatility, local air speed above the wafer also affects resist drying. In a closed bowl airflow patterns are under better control. All this results in more uniform thick resist layers. An extra drying step at lower rpm can be added to thick resist spin process: this will allow further drying with only a minor effect on resist thickness. Edge beads become significant when thick layers of photoresists are spincoated. Compared to thin resists edge beads in thick layers are mostly caused by surface tension of the resists and therefore their effect is larger. For resists thicker than 50 µm the edge bead is comparable or greater than the proximity gap in exposure, and therefore starts to limit resolution. Edge bead removal shrinks the patternable area, but clearly improves the patternability of the layers because of improved gap control.

20.4.1 Thick Resist Processing Thick photoresist patterning is very similar to thin resists, but they have same special features that should be taken into account while processing. This chapter concentrates on those differences. Few examples of process flows for thick resist layers are shown in Tables 20.4 and 20.5.

15 23

kv mm

x

34 500

x y z

µm

29270 44592 0 00 8

#

1

ZEISS D S M 950

FIGURE 20.4 An example of microfluidic reservoir with pillar arrays fabricated of negative photoresist SU-8.

TABLE 20.4 Process Flow Examples for SU-8 Process Step

Notes

50 µm Thick Layer

Application

SU-8 50

Spincoat 30 s with 2800 rpm

Spincoat 30 s with 800 rpm

On hot plate

10 min at 65 C and 20 min at 95 C

30 min at 65 C and 80 min at 95 C

In oven

60 min at 90 C

200 min at 90 C

Exposure

Depends on aligner

750 mJ/cm2

1100 mJ/cm2

PEB

On hot plate

Slow heating to 95 C hold for 7 min

Slow heating to 95 C hold for 20 min

In oven

35 min at 90 C

75 min at 90 C

Soft bake



200 µm Thick Layer 

Slow cooling or relaxation at room temperature for at least 15 min Development

Immersion to PGMEA

8 min

22 min

Rinsing

2-propanol

2 min

2 min

MEMS Lithography Chapter | 20

TABLE 20.5 Thick AZ Processing [25,28] Resist

AZ4562/9260

Thickness

40 µm

Application

Dispense static, spread 10 s at 250 rpm Spin coat 2000 rpm in a closed bowl spinner

Soft bake

84 C, 50 min oven 1115 C, 2 min hot plate or; 40 90 C ramp for 15 min 1 60 min at 90 C

Rehydration

60 min in air at room temperature

Exposure

3000 3500 mJ/cm2 (B5 10 min)

Develop

Immersion 10 20 min (1:4 AZ400K:DIW)

Hard bake

Optional: max. 90 C for 1 2 h in oven

6 Baking time (h)

5 4 3 2

50µm

20 µm Film thickness

Convection oven

70µm

IR radiation

0

Hotplate

1

437

oven should be perfectly horizontally leveled. Even a slight change in the angle can cause huge resist thickness variation over the wafer. Resist flow can be overcome by rotating the wafer during baking. Oven baking is normally done at a fixed temperature, but hot plate bakes often include temperature ramps. This is possible because their smaller thermal mass allows rapid ramping. If the material has glass transition temperature at the baking temperature range it is recommended to keep the wafer at that temperature for some time before proceeding to the final temperature. This relaxes the stresses in the material. For the same reason it is also recommended to avoid rapid changes in the temperature after the baking. Wafers are always cooled down to room temperature before exposure. Multiple layers of thick resists can be coated on top of each other, each exposed separately. However, the development of the layers should be done simultaneously otherwise the application of the next layer becomes problematic [31]. Normally the step coverage properties (over cavities with lower depth than the resist depth) of thick photoresists are good. However, the resists are normally highly viscous and therefore resist application by spin coating does not necessarily result in good resist profiles. Good step coverage is achieved only during the soft baking when the viscosity of the resist is lowered and therefore resist flow is accelerated. This compromises layer thickness control and uniformity across the wafer. This can be seen schematically in Figure 20.1. Casting method solves this problem because resist flows into all cavities already during casting.

Method

FIGURE 20.5 Soft bake times for different resist thicknesses and bake methods. From Ref. [32] by permission of Micro Resist Technology GmbH.

20.4.3 Soft Bake Soft bake is done in a convection oven, on a hot plate or by IR heaters. Solvent removal from the material is diffusion limited and therefore the baking times increase when thicker layers are patterned. Normally hot plates are faster for the soft bake as can be seen in Figure 20.5, but depending on the plate size only a few wafers can be processed simultaneously. The hot plate should have very homogenous temperature distribution otherwise the baking results uneven properties to the layer. Ovens load several wafers at the same time, but wafers have to be kept in the horizontal position to avoid resist flow. At elevated temperatures viscosity is smaller and therefore resist flows easily. Therefore the hot plate or shelves of the

20.4.4 Thick Resist Exposure With thick resists only direct contact exposure is widely used. Proximity exposures can be used but the sidewall quality is compromised: structures will have non-vertical sidewalls due to the gap between mask and the resist. Projection exposures have the same kind of problem, because the light is focused only in one plane, the resist above and below that plane have a different image and the result is a barrel-like resist profile. More detailed accounts of thick resist exposure and sidewall profile optimization can be found in Refs. [18,33,34]. In addition to standard exposure, resists on glass wafers can be exposed from the backside [29]. This has the effect of positively sloping profile for a negative resist. Exposure times required for the photoresist layer depends heavily on the aligner optics and the wavelength spectrum used for exposure. Therefore the exposure times recommended by the manufacturers should not be followed automatically, but the correct exposure times should be tested for each aligner.

438 PART | IV Micromachining Technologies in MEMS

Exposure light should not be absorbed at the base resin, only the photoinitiator molecule should interact with the light. If the resin is absorbing light the structures will have a T-shaped cross-section known as T-topping. This effect is caused directly by the absorbance of light. It can be eliminated by adding suitable filters to the light path or changing the aligner lamp to one with the correct wavelength. The problem can be seen in Figure 20.6 for relatively thin layers of resists, but it is pronounced when thickness increases. As a general rule most polymers start to absorb at wavelengths of around 350 nm and below that. Therefore usage of broadband mercury lamp exposure does not give good results unless the aligner is equipped with a filter that cuts wavelengths below 350 nm. It is also possible to add a filter on top of a mask wafer stack. The easiest method depends on the mask aligner used. For the highest aspect ratios special methods have to be applied. The non-idealities of the exposure methods cause variations in the resist structure. The most significant feature that causes exposure non-idealities is nonplanar surfaces. Edge beads or other non-idealities in resist thickness cause gaps between the mask and the resist layer in contact exposure. The problem can be improved by better planarization of the layers, and especially edge bead removal should help the situation. One option is to use additional materials in lithography. If the air gap between mask and photoresist is filled with material with a refractive index matching that of the resist, the problem is compensated and the resist profile should be optimal [33].

20.4.5 Thick Resist PEB In the case of negative photoresists PEB is required to cross-link the material. For positive photoresists this step 20 7 x

is not normally required. This baking step is done on hot plate or in convection oven. It is crucial that the temperature is high enough for complete crosslinking, but too high temperatures should be avoided because of stress generation. Part of the stress is caused by crosslinking shrinkage and this cannot be controlled by temperature, but only by crosslinking density. The shrinkage with commercial thick photoresists is normally low and the main source of stresses comes from thermal expansion coefficient difference between substrate and the resist [35]. This stress can be so high that wafers are visibly bowed. By adjusting the crosslinking density (stopping baking before complete crosslinking) the material becomes more flexible. This can be used for stress reduction and also for tailoring device properties in MEMS components. However, it is worth noticing that lower crosslinking density changes also other material properties like long term stability.

20.4.6 Thick Resist Development The development of thick resists is normally done by immersion development, but some materials can also be spray developed. Development of thick resists is diffusion limited and development time increases as a function of thickness. Development is also slower in narrow grooves compared with large open areas. With some negative resists cracking of the resist layer can occur during the development. This is due to organic solvents diffusing into the resist. Higher crosslinking density will improve the tolerance for cracking. Post bake (hard bake) is not normally required for thick negative resists. This step densifies the resist layer either by further crosslinking or by further evaporation of volatile compounds. The temperature of the post curing depends on the material, but normally the temperature is

kv mm 2000

15 9 x

3000

5 µm

#

1

DSM 950

FIGURE 20.6 T-topping on left and filtered exposure on right.

kv mm

5

#

µm

1

DSM 950

MEMS Lithography Chapter | 20

higher than earlier baking steps (e.g., 120 150 C). With some negative resists this can be used for removal of small cracks appeared during development. However, it is worth noticing that it also creates higher stresses in the structures. Therefore the need for this step should be evaluated based on the application. For applications using thick resist for patterning other layers, post curing is normally good because it improves the strength of the material in the following process steps.

20.4.7 Thick Resists as Sacrificial Materials In electroplating applications positive photoresists play a major role, because these are easier to remove after plating. For high aspect ratio electroplating the standard procedure has been to use PMMA as a photoresist and synchrotron X-ray exposure for patterning. With this kind setup aspect ratios up to 100:1 can be achieved, but difficult and expensive X-ray exposure limits the use of this technique. The X-ray exposure followed by electroplating is commonly known as Lithographie Galvanoformung Abformung [30]. For high aspect ratio structures with UV-patterning the only material enabling close to similar performance is epoxy photoresist SU-8. Aspect ratios up to 190:1 have been demonstrated [33] and 20:1 are easily achieved by standard UV-lithography. However, SU-8 has problematic material removal after the electroplating and therefore it is not very optimal material for such applications. For lower aspect ratios the selection of the materials becomes wider. Thick photoresists for electroplating applications have been reviewed, for example, in Ref. [9]. Even complicated 3D electroplated structures have been demonstrated using normal positive thick photoresists [22].

439

20.4.8 Thick Resists as Structural Materials In MEMS-components thick photoresists have been used for several purposes. In some applications the whole functional component is fabricated of thick photoresists but it can be used also together with silicon and glass microfabricated structures [36]. Patterning of thick resist enables easier fabrication processes for component compared to for example fabrication of silicon. Photoresist structures are also softer materials and therefore mechanically moving parts can move with lower forces and the movement distances are larger. This enables for example more sensitive sensors and actuators with wider moving range [37]. However, the long term stability is normally compromised compared to hard materials like silicon. Microfluidics has a number of applications of thick photoresists. Thick resists have been used for fabrication of static microchannels [35,38] and also for mechanically moving structures like for pumps and valves [39]. For microfluidic channels the wall material has relaxed mechanical requirements (and mostly surface chemistry issues with analytes) and therefore thick resists are suitable materials for such applications. Fast and easy fabrication process together with transparent channel material favors fabrication from resist materials. Optically transparent channels enable fluid detection through the channels and easy integration of optical components to the microfluidic systems [40] (Figure 20.7). Adhesive bonding is a standard procedure in fluidic device fabrication. The materials normally used as adhesives are glue-type of materials that are thermally, chemically or UV-cured. However, thick resists are also suitable for these kinds of applications. Some of the negative resists have good bonding capabilities: SU-8, polyimides and benzocyclobutene have all been successfully used for adhesive bonding. These materials enable fully lithographic fabrication process for complex multilayer structures. Bonding can be accomplished by UV-exposure through transparent substrate [35,38] or by first patterning the layers by lithography and then bonding the cured structures by commercial wafer bonder [41]. An example of adhesive bonding by SU-8 is shown in Figure 20.8. The pillars are fabricated in one lithographic step of SU-8 and then another layer of SU-8 is bonded on top of pillars.

20.5 SPECIAL LITHOGRAPHY APPROACHES FIGURE 20.7 An example of microfluidic chip fabricated with negative photoresist patterning. All the structures are done of epoxy photoresist SU-8 and silicon and glass plates are used for mechanical support.

In addition to optical lithography with photomasks, other patterning techniques have been employed. Most of these remain niche, but they have some attractive features in certain MEMS applications.

440 PART | IV Micromachining Technologies in MEMS

20.5.1 Lithography Over Severely 3D Topography Lithography on severely 3D surfaces is challenging, and for instance spin coated photoresists cannot be employed. It is possible to use spray coated resists which offer better resist coverage over topography. But there are alternative approaches. Peeling masks (nested masks) utilize two

15 kv 7 mm x

200 50 µm

X Y Z

36442 35922 20994

#

1

DSM 950

FIGURE 20.8 High aspect ratio SU-8 pillars bonded adhesively with another layer of SU-8.

UV exposure

different hard masks and two lithography steps before any deep etching steps. This technique is described in Chapter 23 with application to DRIE. Shadow masks (stencils) can be used to eliminate photoresist from the patterning process [42,43]. In a typical application shadow mask and evaporation are used to create metallization pattern directly on wafer. The technique is simple for large feature sizes and large alignment tolerances, but becomes increasingly difficult if resolution or alignment requirements get tighter. For example, bowing of the stencil due to deposited metal changes the apparent solid angle seen through the stencil, leading to linewidth variation. For nanostencils clogging of the apertures by the deposited metal quickly diminishes the aperture, limiting metal thickness. Obviously donut-shaped structures are difficult to make by shadow mask, requiring multilevel processing of the stencil or “double exposure.” Shadow mask can be used to deposit metal on bottom of a deep structure, but solid angle considerations limit the achievable linewidth, as shown in Figure 20.9. But optical lithography is similarly compromised, as the gap between mask and resist inevitably increases. Spray coated thin conformal resist will help to maintain some linewidth control, but a large gap will limit performance. Shadow masks can be made to conform to 3D structures on the wafer, enabling intimate contact between the stencil and the wafer to be patterned. This works for both optical lithography as well as lift-off metallization, FIGURE 20.9 Patterning at trench bottom: lithography versus lift-off using planar photomasks and planar shadow mask (top) and conformal 3D photomask masks and conformal shadow mask (bottom). From Ref. [44] by permission of Elsevier.

Evaporation

g

l

Photoresist

UV exposure

Evaporation

Device wafer g l

Contact less

Self - aligned

MEMS Lithography Chapter | 20

Figure 20.9 [44]. Shadow masks can also be used in etching: for instance a chemical sensor sensing films that do not tolerate photoresists, can be patterned by removing selected areas by plasma etching through a stencil mask. While evaporation is a line of sight method, ensuring no deposition under the stencil, plasma etching is a mixture of chemical and physical processes and some underetching will inevitably take place. This undercut is heavily process dependent.

20.5.2 Stepper Lithography Stepper lithography advantage is high resolution as shown by Eq. (20.3) but the major limitation is shallow DOF which is described by Eq. (20.4). With 365 nm exposure wavelength and k1 of 0.40 and NA of 0.35 resolution is 400 nm and with k2 5 0.23 the depth of field is 61.5 µm. k1 λ NA λ DOF 5 6 2UNA2 Resolution 5

(20.3) (20.4)

The benefits of stepper (and scanner) lithography come from productivity when small linewidth devices are fabricated on large wafers. Making a reticle for 1 µm linewidths on the wafer is relatively easy: the lines are 5 µm on the reticle. A nine inch mask (for 200 mm wafers) with 1 µm linewidth for 1X exposure is very expensive, even though is routine for larger linewidths, like bonding pads and bumping pads for packaging. Steppers (and scanners) are tools with impressive throughputs of well over 100 wafers per hour. They are used in mass production of various MEMS devices, for example micro-mirror displays, inkjet heads, pressure sensors, accelerometers, gyroscopes, microphones, and RF switches [45]. In order to increase DOF, focus drilling has been introduced [46]. Multiple exposures, two or three, with different focus depth are used to expose the resist through the thickness. Two-beam imaging with off-axis illumination and mask stacking are other ways to increase depthof-field [45].

20.5.3 Laser Lithography Laser lithography works similarly to optical lithography: resist is exposed to UV light (e.g., 405 or 413 nm). The difference is in writing mode: laser lithography is serial process. Justification between using laser lithography to write masks versus direct write on wafer depends on factors like the required number of wafers, writable area per wafer and pattern size. For small scale research systems (which cost anything from 100 000 h upwards) writing times for MEMS wafers are typically tens of minutes to a

441

few hours. There are, however, huge variations between systems, depending on particular patterns.

20.5.4 Laser Lithography of Thick Resists Laser writing of thick resist faces the problem of focus depth. It is difficult to achieve high resolution when the focal plane is not well defined. Thick means here resists in the range of 10 100 µm. In Ref. [47] in-house developed epoxy resist Epocore (not unlike SU-8) was exposed by 413 nm krypton laser. Resist thicknesses from 3 to 85 µm were studied. Isolated lines down to one micrometer width successfully made, and for 4 µm lines aspect ratios of 40:1 were achieved (85 µm thick resist). In dense line/space arrays 20 µm lines and spaces the maximum aspect ratio was limited to 2:1. Defocusing above the resist surface (by, e.g., 10 µm) was essential for high resolution patterning. In another work 10 50 µm thick hydrid organic ceramic material Ormocomp was exposed with a 405 nm laser [48]. Low aspect ratio structures were successfully made. Laser exposure was chosen because Ormocomp is still liquid-like after baking and it would have to be exposed in proximity gap mode in a mask aligner, leading to poor resolution and rounding of sharp corners. With laser lithography, 1 2 µm radius of curvature was seen in triangular corners, much better than available by proximity exposure.

20.5.5 Inclined Exposure One MEMS features that has no IC lithography correspondence is the need to have inclined exposures. Inclined lithography equipment can be very simple: mechanical wafer/mask stage at inclined angle, with vertical UV-exposure. Rotational stage can be added. Mask can be either on top of the resist as usual [49], or backside exposure with integrated metal mask on a glass wafer can be done [50,51]. The benefit of backside illumination and integrated metal mask on transparent substrate is that multiple exposures are automatically aligned to each other. Simple inclined pillars and ridges can be made, and by adding rotation wells with inclined walls are possible. Most effort has been directed towards various mesh and lattice structures. Fluidic sieves and cell trapping devices have been realized. Mechanically strong ultralight lattices have been made in thiolene by inclined exposure and either by electroplating [52,53] or by ALD coating of the polymer [53].

20.5.6 Gray-Level Lithography Gray-scale lithography masks utilize subresolution patterns. By modifying pixel size and spacing, the

442 PART | IV Micromachining Technologies in MEMS

transmissivity of the mask can be modified. For a 5X reduction stepper (0.56 NA, λ 5 365 nm) 1 2 µm pixels on the mask are unresolvable: nominally they would be 0.2 0.4 µm on the wafer [55]. By modifying the pixellation of the mask, 42 levels of gray were created, corresponding to ca. 100 nm height differences in 5 µm thick AZ positive resist. This sloping resist profile was then used as a mask in silicon DRIE. By tailoring the silicon:resist etch selectivity, sloping silicon structures were achieved, resulting in compressor blades with 350 µm maximum and 140 µm minimum thickness. It is also possible to create gray scales with a thousand shades [56].

20.5.7 Interference Lithography Simple repeating patterns of lines and dots can be patterned by laser interference lithography (LIL; or IL; also called holographic lithography) [54]. Interference pattern is produced in resist by laser beams to make a periodic line pattern, and with 90 rotation and another exposure, a dot or hole pattern is realized. There are two major benefits is interference lithography: its resolution is superior to 1X optical lithography: pitches of 300 nm are readily available; and it is maskless technique. In fact, it is often used as a tool to make a mask or a master for other techniques, like micro contact printing. Limitations of IL include the relatively small patternable area and the limited repertoire of shapes that can be made, essentially periodic arrays only. Simple lab scale IL can be made relatively cheaply [57], with 2 3 2 cm2 patternable area. Interference lithography units can be added to 1X contact/proximity mask aligners, but the prices are similar to the basic equipment itself.

REFERENCES [1] S. Rizvi (Ed.), Handbook of Photomask Manufacturing Technology, CRC, 2005. [2] B.G. Eynon, B. Wu, Photomask Fabrication Technology, McGraw-Hill, 2005. [3] W.M. Moreau, Semiconductor Microlithography, Plenum, 1991 (3rd printing). [4] J.R. Sheats (Ed.), Microlithography Science and Technology, CRC, 1998. [5] H.J. Levinson, Principles of Lithography, second ed., SPIE Press Monograph vol. PM146, 2005. [6] K. Suzuki, B.W. Smith (Eds.), Microlithography: Science and Technology, Marcel Dekker, 2007. [7] A. del Campo, C. Greiner, SU-8: a photoresist for high aspect ratio and 3D submicron lithography, J. Micromech. Microeng. 17 (2007) R81 R95.

[8] H. Miyajima, M. Mehregany, High-aspect-ratio photolithography for MEMS applications, J. Microelectromech. Syst. 4 (1995) 220 229. [9] E. Koukharenko, M. Kraft, G. Ensell, N. Hollinshead, A comparative study of different thick photoresists for MEMS applications, J. Matter. Sci. 16 (2005) 741 747. [10] J. Shaw, J. Gelorme, N. LaBianca, W. Conley, S. Holmes, Negative photoresists for optical lithography, IBM J. Res. Dev. 41 (1997) 81 94. [11] N.P. Pham, E. Boellelaard, J. Burghartz, P. Sarro, Photoresist coating method for the integration of novel 3-D RF microstructures, J. Microelectromech. Syst. 13 (2004) 491 499. [12] L. Yu, Y.Y. Lee, F.E.H. Tay, C. Iliescu, Spray coating of photoresist for 3D microstructures with different geometries, J. Phys.: Conf. Ser. 34 (2006) 937 942. [13] P. Abgrall, C. Lattes, V. Conedera, X. Dollat, S. Colin, A.M. Gue, A novel fabrication method of flexible and monolithic 3D microfluidic structures using lamination of SU-8 films, J. Micromech. Microeng. 16 (2006) 113 121. [14] K. Stephan, P. Pittet, L. Renaud, P. Kleinemann, P. Morin, N. Ouaini, et al., Fast prototyping using a dry film photoresist: microfabrication of soft-lithography masters for microfluidics structures, J. Micromech. Microeng. 17 (2007) N69 N74. [15] C.A. Bower, K. Gilchrist, M. Lueck, B.S. Stoner, Microfabrication of a fine-pitch high aspect ratio Faraday cup arrays in silicon, Sens. Actuators A 137 (2007) 296 301. [16] C. Harrison, J. Cabral, C. Stafford, A. Karim, E. Amis, A rapid prototyping technique for the fabrication of solvent-resistant structures, J. Micromech. Microeng. 14 (2004) 153 158. [17] E.S. Kim, R.S. Muller, R.S. Hijab, Front-to-backside alignment using resist-patterned etch control and one etching step, J. Microelectromech. Syst. 1 (1992) 95 99. [18] Y. Cheng, C.-Y. Lin, D.-H. Wei, B. Loechel, G. Grutzner, Wall profile of thick photoresist generated via contact printing, J. Microelectromech. Syst. 8 (1999) 18 26. [19] V.S. Rao, V. Kripesh, S.W. Yoon, A.A.O. Tay, A thick photoresist for advanced wafer level packaging applications using JSR THB-151N negative tone UV photoresist, J. Micromech. Microeng. 16 (2006) 1841 1846. [20] H.S. Lee, J.-B. Yoon, A simple and effective lift-off with positive photoresist, J. Micromech. Microeng. 15 (2005) 2136 2140. [21] P.F. van Kessel, L. Hornbeck, R. Meier, M. Douglas, A MEMSbased projection display, Proc. IEEE 86 (1998) 1687 1704. [22] J.-B. Yoon, C.-H. Han, E. Yoon, C.-K. Kim, Monolithic fabrication of electroplated inductors using three-dimensional photolithography of a thick photoresist, Jpn. J. Appl. Phys. 37 (1998) 7081 7085. [23] I.-H. Song, P.K. Ajmera, Use of photoresist sacrificial layer with SU-8 electroplating mold in MEMS fabrication, J. Micromech. Microeng. 13 (2003) 816 821. [24] A. Nakajima, P. Kim, N. Honda, K. Hikichi, M. Esashi, S. Tanaka, Fabrication and high-speed characterization of SU-8 shrouded two-dimensional microimpellers, J. Micromech. Microeng. 17 (2007) S230 S236. [25] D.H. Lee, D.E. Park, J.B. Yoon, S. Kwon, E. Yoon, Fabrication and test of a MEMS combustor and reciprocating device, J. Micromech. Microeng. 12 (2002) 26 34.

MEMS Lithography Chapter | 20

[26] R. Bischofberger, H. Zimmermann, G. Staufert, Low-cost HARMS process, Sens. Actuators A 61 (1997) 392 399. [27] H. Lorenz, M. Despont, P. Vettiger, P. Renaud, Frabrication of photoplastic high-aspect ratio microparts and micromolds using SU-8 UV resist, Microsyst. Technnol. 4 (1998) 143 146. [28] S. Roth, L. Dellmann, G.-A. Racine, N. de Rooij, High aspect ratio UV photolithography for electroplated structures, J. Micromech. Microeng. 9 (1999) 105 108. [29] K. Kim, D.S. Park, H.M. Lu, W. Che, K. Kim, J.-B. Lee, et al., A tapered hollow metallic microneedle array using backside exposure of SU-8, J. Micromech. Microeng. 14 (2004) 597 603. [30] E. Becker, W. Ehrfeld, P. Hagmann, A. Maner, D. Munchmeyer, Fabrication of microstructures with high aspect ratios and great structural heights by synchrotron radiation lithography, galvanoforming, and plastic moulding (LIGA process), Microelectron. Eng. 4 (1986) 35 56. [31] S. Tuomikoski, N. Virkkala, S. Rovio, A. Hokkanen, H. Siren, S. Franssila, Design and fabrication of integrated solid-phase extraction-zone electrophoresis microchip, J. Chromatogr. A 1111 (2006) 258 266. [32] U. Ostrzinski, M. Heinrich, Development of drying methods for highly viscous photoresists by application of IR radiation (research report), Micro Resist Technology GmbH, 2003. [33] P. Yang, W. Wang, A numerical and experimental study on gap compensation and wavelength selection in UV-lithography of ultra-high aspect ratio SU-8 microstructures, Sens. Actuators B 110 (2005) 279 288. [34] W.-K. Kang, E. Rabe, S. Kopetz, A. Neyer, Novel exposure methods based on reflection and refraction effects in the field of SU-8 lithography, J. Micromech. Microeng. 16 (2006) 821 831. [35] S. Tuomikoski, S. Franssila, Free-standing SU-8 microfluidic chips by adhesive bonding and release etching, Sens. Actuators A 120 (2005) 408 415. [36] D. Bachmann, B. Scho¨berle, S. Ku¨hne, Y. Leiner, C. Hierold, Fabrication and characterization of folded SU-8 suspensions for MEMS applications, Sens. Actuators A 130 131 (2006) 379 386. [37] T. Ebefors, J. Ulfsted Mattsson, E. Ka¨lvesten, G. Stemme, A robust microconveyor realized by arrayed polyimide joint actuators, J. Micromech. Microeng. 10 (2000) 337 349. [38] S. Tuomikoski, T. Sikanen, R. Ketola, R. Kostiainen, T. Kotiaho, S. Franssila, Fabrication of enclosed SU-8 tips for electrospray ionization mass spectrometry, Electrophoresis 26 (2005) 4691 4702. [39] N.-T. Nguyen, T.-Q. Truong, K.-K. Wong, S.-S. Ho, C. Low, Micro check valves for integration into polymeric microfluidic devices, J. Micromech. Microeng. 14 (2004) 69 75. [40] Z. Wang, J. El-Ali, M. Engelund, T. Gotsaed, I. Perch-Nielsen, K. Mogensen, et al., Measurement of scattered light on a microchip flow cytometer with integrated polymer based optical elements, Lab Chip 4 (2004) 372 377. [41] F. Blanco, M. Agirregabiria, J. Garcia, J. Berganzo, M. Tijero, J. Ruano, et al., Novel three-dimensional embedded SU-8 microchannels fabricated using a low temperature full wafer adhesive bonding, J. Micromech. Microeng. 14 (2004) 1047 1056.

443

[42] M. Graff, S.K. Mohanty, E. Moss, A.B. Frazier, Microstenciling: a generic technology for microscale patterning of vapor deposited materials, J. Microelectromech. Syst. 13 (2004) 956 962. [43] G.M. Kim, M.A.F. van den Boogaart, J. Brugger, Fabrication and application of a full wafer size micro/nanostencil for multiple length-scale surface patterning, Microelectr. Eng. 67 68 (2003) 609 614. [44] J. Brugger, C. Andreoli, M. Despont, U. Drechsler, H. Rothuizen, P. Vettiger, Self-aligned shadow mask technique for patterning deeply recessed surfaces of micro-electro-mechanical systems devices, Sens. Actuators A 76 (1999) 329 334. [45] B.J. Lin, Can MEMS take advantage of advances in semiconductor lithography? Proc. IEEE MEMS, 2010, p. 1. [46] T. Hayashida, H. Fukuda, T. Tanaka, N. Hasegawa, A novel method for improving the defocus tolerance in step and repeat photolithography, SPIE Proc. 772 (1987) 66. [47] V.J. Cadarso, K. Pfeiffer, U. Ostrzinski, J.B. Bureau, G.A. Racine, A. Voigt, et al., Direct writing laser of high aspect ratio epoxy microstructures, J. Micromech. Microeng. 21 (2011). Available from: http://dx.doi.org/10.1088/0960-1317/21/1/017003. [48] A. Singh, G. Scotti, T. Sikanen, V.P. Jokinen, S. Franssila, Laser direct writing of thick hybrid polymers for microfluidic chips, Micromachines 5(3) (2014) 472 485. [49] M. Han, W. Lee, S.-K. Lee, S.S. Lee, 3D microfabrication with inclined/rotated UV lithography, Sens. Actuators A 111 (2005) 14 20. [50] Y.-K. Yoon, J.-H. Park, J.-W. Lee, M.R. Prausnitz, M.G. Allen, A thermal microjet system with tapered micronozzles fabricated by inclined UV lithography for transdermal drug delivery, J. Micromech. Microeng. 21 (2011) 025014. [51] Y.-K. Yoon, J.-H. Park, M.G. Allen, Multidirectional UV lithography for complex 3-D MEMS structures, JMEMS (2006) 1121 1130. [52] T.A. Schaedler, A.J. Jacobsen, A. Torrents, A.E. Sorensen, J. Lian, J.R. Greer, et al., Ultralight metallic microlattices, Science 334 (2011) 962 965. [53] L. Meza, S. Das, J. Greer, Strong, lightweight, and recoverable three-dimensional ceramic nanolattice, Science 354 (2014) 1322 1326. [54] J.-H. Seo, J.H. Park, S.I. Kim, B.J. Park, Z. Ma, J. Choi, et al., Nanopatterning by laser interference lithography: applications to optical devices, J. Nanosci. Nanotechnol. 14 (2014) 1521 1532. [55] C.M. Waits, B. Morgan, M. Kastantin, R. Ghodssi, Microfabrication of 3D silicon MEMS structures using gray-scale lithography and deep reactive ion etching, Sens. Actuators A 119 (2005) 245 253. [56] Y. Oppliger, P. Sixt, J.M. Stauffer, J.M. Mayor, P. Regnault, G. Voirin, One-step 3D shaping using a gray-tone mask for optical and microelectronic applications, Microelectron. Eng. 23 (1994) 449 454. [57] I. Byun, J. Kim, Cost-effective laser interference lithography using a 405 nm AlInGaN semiconductor laser, J. Micromech. Microeng. 20 (2010) 6055024.