Silicon-containing block copolymers for lithographic applications

Silicon-containing block copolymers for lithographic applications

Progress in Polymer Science 77 (2018) 19–68 Contents lists available at ScienceDirect Progress in Polymer Science journal homepage: www.elsevier.com...

21MB Sizes 0 Downloads 166 Views

Progress in Polymer Science 77 (2018) 19–68

Contents lists available at ScienceDirect

Progress in Polymer Science journal homepage: www.elsevier.com/locate/ppolysci

Silicon-containing block copolymers for lithographic applications Ting-Ya Lo, Mohan Raj Krishnan, Kai-Yuan Lu, Rong-Ming Ho ∗ Department of Chemical Engineering, National Tsing Hua University, Hsinchu 30013, Taiwan

a r t i c l e

i n f o

Article history: Available online 16 October 2017 Keywords: Self-assembly Block copolymer lithography Thin films Silicon-containing block copolymers Nanopatterning

a b s t r a c t This comprehensive review, summarizes recent advances in the fabrication of well-ordered block copolymer (BCP) thin films by different methods, focusing on the development of silicon-containing BCPs as candidates for lithographic applications. With the advantage of Si-containing blocks, these BCPs offer much smaller feature sizes due to large segregation strength and high etch contrast for the fabrication of well-defined nanopatterns with high resolution. Considering that poly(dimethylsiloxane) (PDMS)-containing BCPs are widely studied systems among Si-containing BCPs, the possibility of using PDMS-containing BCPs for lithographic applications is demonstrated through previous and ongoing key research. BCP lithography will lead to the development of next-generation microelectronic devices by providing a simple and scalable nanopatterning method for the fabrication of microelectronic devices in which the feature sizes and geometries are controlled by tuning the chain lengths and volume fractions of the block copolymers. The control of microdomain orientation and alignment in thin film BCPs is crucial for lithographic applications. The principles and limitations of various methods to orientation are discussed, including temperature-gradient, surface modifications, solvent annealing/evaporation and other new types of annealing process. Directed self-assembly (DSA) of BCP on topographic or chemically patterned substrates has attracted a great attention from academic and industrial research since it offers the advantage of defect free nanopatterning at large scales. The key achievements in DSA methods are elaborated in the subsequent parts of this review. New trends for lithographic applications and the applications beyond lithography using Sicontaining BCPs for nanopatterning are also discussed, and finally, concluding remarks and perspectives for BCP lithography are presented. © 2017 Elsevier B.V. All rights reserved.

Contents 1. 2.

3.

Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 Patterning techniques using BCPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 2.1. Integration of top-down and bottom-up approaches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 2.2. Block copolymer (BCP) lithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 2.3. Self-Assembly of BCP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 2.3.1. Phase behavior of BCPs in the bulk state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 2.3.2. Phase behavior of BCPs in the thin film state . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 Controlling orientation of BCP thin film . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 3.1. Temperature-gradient-induced orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 3.2. Surface-induced orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 3.3. Solvent-induced orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 3.3.1. Solvent-annealing-induced orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 3.3.2. Solvent-evaporation-induced orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 3.4. Directed self-assembly of BCP orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31

∗ Corresponding author. E-mail address: [email protected] (R.-M. Ho). https://doi.org/10.1016/j.progpolymsci.2017.10.002 0079-6700/© 2017 Elsevier B.V. All rights reserved.

20

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

4.

5.

6.

3.4.1. Topographic patterned surface (graphoepitaxy) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 3.4.2. Chemical patterned surface (Heteroepitaxy) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 Silicon-containing BCPs for BCP lithography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 4.1. Oxidation mechanism of silicon-containing BCPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 4.2. POSS-containing BCPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 4.3. PFS-containing BCPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 4.4. High ␹ silicon-containing BCPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 4.5. Other silicon-containing BCPs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .46 PDMS-Containing BCPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 5.1. Phase behavior of PDMS-containing BCPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47 5.2. PDMS-containing BCP thin films . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 5.3. DSA of PDMS-containing BCPs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 5.4. Lithographic applications and beyond . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 Conclusions and perspectives . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 Acknowledgement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 References . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

Nomenclature AES AFL AHY BCP C CPS CZA-SS DBP DDFT DEP DOP DSA EUV f FL G HL HY ICs ITRS

Auger electron spectroscopy. Anti-symmetric surface-parallel lamella Anti-symmetric hybrid structure Block copolymer Cylinders Close-packed spheres. Cold zone annealing-soft-shear Di-n-butyl phthalate Dynamic density functional theory Diethyl phthalate Bis(2-ethylhexyl) phthalate Directed self-assembly Extreme ultra-violet lithography Volume fraction Symmetric surface-parallel full lamella Gyroid Half-lamella Symmetric hybrid structure Integrated circuits International technology roadmap for semiconductors L Lamellae Domain spacing L0 MIRS Multiple internal reflection infrared spectroscopies N Degree of polymerization ODT Order- disorder transition Order-order transition OOT PE Poly(ethylene) PEDOT:PSS (poly(3,4-ethylenedioxy thiophene): poly(styrenesulfonate) Polyferrocenylsila PFS PI Polyisoprene PL Perforated-layer Surface-perpendicular lamellae PL PMOST-PTMSS Poly(4-methoxystyrene)-bpoly(trimethylstyrene) Polyhedral oligomeric silsesquioxane POSS PS-PB-PS Polystyrene-b-polybutadiene-b-polystyrene PS-PDSS Polystyrene-b-poly(pentamethyl disilylstyrene) PS-PEO Polystyrene-b-poly(ethylene oxide) PS-PFS Polystyrene-b-poly(ferrocenylsilane) PS-PLLA Polystyrene-b-poly(L-lactide)

PS-PMMA Polystyrene-b-polymethyl methacrylaye PS-PTMSS Polystyrene-b-poly(trimethylstyrene) PS-PVP Polystyrene-b-polyvinylpyridine Poly(tetrafluoroethylene) PTFE PVC Poly(vinyl cyclohexane) Quadratically perforated lamellae QPL Rg Radius of gyration of copolymer film S Spheres SAMs Self-assembled monolayers Self-consistent field theory SCFT t Film thickness TDGL Time-dependent ginzburg-Landau theory Todt Order-disorder transition temperature X-ray photoelectron spectroscopy XPS ZA Zone annealing Interfacial energy ␥ ␧ Effective interaction parameter Average surface pattern size ␭  Polymer volume fraction for solutions P Shrinkage ratio of the film thickness during drying Flory-Huggins interaction parameter ␹ ␥ Interfacial energy difference T Temperature gradient

1. Introduction Historically, the development of microelectronics has been following Moore’s law (the linewidth of the pattern has approximately halved every 18 months) to satisfy the growing demands for higher speed and lower energy consumption per computing unit. When the feature size reduces below the sub–22 nm size, the “top-down” photolithography method will become prohibitively expensive, and approach its physical limit. Directed self-assembly (DSA) of block copolymers (BCPs) combining the advantage of traditional “top-down” lithography and thermodynamics-governed “bottom-up” self-assembly for spontaneously forming sub–22 nm nanostructures with high degrees of perfection, accuracy, registration and complexity is an appealing approach. There are some review articles on recent progress toward techniques that achieve controlled orientation of BCP thin films through DSA using chemical or topographical guiding patterns [1–5]. Block copolymers (BCPs) composed of two or more chemically distinct, incompatible blocks are well-known to self-assemble into various ordered nanostructures resulting from a balance between

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

the conformational entropy and interaction energy between the blocks. For such BCPs to prove useful in lithographic applications, thin-film samples must be formed with oriented nanostructures over a large area. BCP lithography was first proposed and demonstrated in 1990s. Yet, it is challenging to fabricate well-defined nanopatterns over a large area with smaller linewidth for the designs of next-generation devices. Detailed reviews on the current state of BCP lithography with respect to its key challenges and opportunities are available [6–8]. For lithographic applications, the BCP patterns must be transferred into other functional materials, which means the comprising blocks must possess enough etch contrast to selectively remove one block and leave a structure made from the other block. Readers interested in the details of BCP pattern transfer are referred to the report by Russell and coworkers [9]. Recently, BCPs comprising silicon-containing components have been extensively studied because of their valuable properties for BCP lithography. By taking advantage of the Si-containing block, these BCPs will provide large segregation strength (i.e., small feature size) and high etch contrast for fabrication of robust well-defined nanopatterns with high resolution. Consequently, silicon-containing BCPs are specifically feasible to be used as an etch mask for pattern transfer. This review article begins with a brief introduction for the development of patterning techniques (i.e., lithography) used for the semiconductor industry, followed by the introduction of different techniques for patterning, including top-down and bottom-up processes, as well as their integration, in particular the BCP lithography method. Subsequently, the principles of BCP self-assembly and the corresponding phase behavior of BCP in the melt state are described. Since the control of the orientation in BCP thin films is necessary for lithographic applications methods for that control will be presented including the temperature-gradient approach, surface modification and solvent field approach, as well as DSA from topographic or chemical patterned surfaces. Many other approaches are used for the controlled orientation of BCP thin films, such as electric fields, magnetic fields, shear fields, and epitaxial crystallization, but those approaches can only be applied for some specific BCP systems which may limit the practical applications in industry. Consequently, the focus here is on extensively studied and widely used processes, in particular for those using thermal- and solvent-annealing methods. Furthermore, BCP lithography using silicon-containing BCPs is highlighted. Silicon-containing BCPs will be introduced, including polyhedral oligomeric silsesquioxane- (POSS-), polyferrocenylsilane(PFS-), and polydimethylsiloxane (PDMS)-containing BCPs, followed by a brief rerview of high ␹ silicon-containing BCPs and other types of silicon-containing BCPs. Among all the silicon-containing BCPs, the PDMS-containing BCPs are the most extensively studied system. As a result, the principal focus is on the use of PDMScontaining BCPs for lithographic applications, this system is used as an exemplary case to describe the development of BCP lithography. The importance of thin-film fabrication from casting mandates studies of the phase behavior of PS-PDMS/solvent mixtures with different selective solvents. Phase behavior for the formation of microphase-separated phases from PS-PDMS/solvent mixtures will be presented, showing that the effect of evaporation rate on the solution-cast morphologies of the PS-PDMS is not critical, and that the resultant morphologies are mainly dependent upon the selectivity of the solvent used for casting. The controlled orientation of the PS-PDMS thin films starts with the approaches for creating neutral substrates to drive the perpendicular orientation for lithographic applications. Also, it is noted that PDMS possesses extremely low surface energy promoting the formation of a thin-layer PDMS, requiring additional efforts to remove that layer for lithographic applications. Subsequent sections concentrate on enthalpic effects on the PDMS thin-layer/air interface, and entropic

21

approaches using BCP systems with different architectures will be introduced, such as star-block copolymers. Consequently, DSA approaches will be introduced to provide a variety of methods for the formation of perpendicular orientation of the PS-PDMS thin films with lateral order perfection. A section for the discussion of lithographic applications and beyond such as three-dimensional patterning will be provided. In the end, the developments and future perspectives in this field will be presented. 2. Patterning techniques using BCPs Printing technology is not new, and was an age-old process being used in ancient China. Bi Sheng, who specialized in hand printing, introduced a revolutionary movable type hardened clay in 1040-1050 CE, during the Song dynasty, designed as a specified Chinese character for the subsequent printing. The lithographic technique was later invented by a German play writer Alois Senefelder in 1798 [10,11]. The lithographic process was very successful in making several copies of identical images on paper, and moreover in short time. Of course, since then lithographic techniques have dramatically evolved and play a major role in the information technology (IT) industry [12]. The ongoing development of chips with increased number of integrated circuits (ICs) highly demands further smaller structures. Therefore, extensive efforts have been taken for the advancement of nanoscale patterning techniques. Two major pathways mentioned in the preceding, known as “top-down” and “bottom-up” approaches, are adopted for fabrication of the nanopatterned surfaces. The top-down approach involves the drawing of nanoscale features on a surface by selective and controlled removal of materials from the bulk solids. Optical lithography (photons), e-beam lithography (electrons) and ion beam lithography (ions) are the most common methods used in IT industries. Of these, optical lithography is widely used in the fabrication of integrated circuits. It employs UV light to transfer the desired pattern from a mask to a light-sensitive material (photo resist) coated surface. Since the minimum attainable feature size is principally dependent on the wavelength of the light used, it is advantageous to use excimer lasers, which produce far-ultraviolet light. Nanoscale features below the limit imposed by light diffraction is achieved by focusing highly accelerated electron beams on a substrate coated with reactive electron resists. Direct laser writing is another technique to fabricate 3D structures. Other top-down approaches to create nano-featured surface include soft lithography, nanoimprint lithography and scanning probe lithography. A major limitation of top-down approach is that the process can be carried out only under vacuum. The bottom-up approach represents a powerful tool for the construction of nanoscale materials by the self-assembly of structure building units (atoms, molecules, or clusters) through non-covalent interactions, such as H-bonding, ␲-␲ stacking and metal-ligand coordination, giving a stable and wide variety of well-defined nanostructures. The intrinsic control towards the final structure through self-assembling process makes this approach well-suited for a large-scale production of functional materials wherein high throughput with low cost is a key demand. Self-assembly is especially evident in biological systems, and much of the early inspiration for studies on this subject came directly from biological aggregates, such as cell membranes, DNA helix structures, and the complex tertiary and quaternary structures of proteins [13]. To prepare nanostructures with well-defined structural characteristics, approaches based on self-assembly are found to be promising alternatives that offer inexpensive and controlled synthesis under mild conditions. However, the ability of such methods to prepare nanostructures of high complexity and smaller feature length remain to be demonstrated. Rothmand and coworkers demonstrated the

22

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 1. A summary of size range of currently available patterning techniques. There is an obvious overlap at the nanometer to submicron range between the top-down and bottom-up approaches, enabling novel combinations of relevant patterns. The EUV is extreme ultraviolet; XIL is X-ray interference lithography; SAMs are self-assembled monolayers [29]. Copyright 2005. Reproduced with permission from Cambridge University Press.

structures with high order of complexity with feature size smaller than 20 nm by engineering DNA molecules [14]. This characteristic property allows the self- assembly of DNA to create a number of complex nanostructures, including 2D arrays. 2.1. Integration of top-down and bottom-up approaches The cost-effective techniques derived from microelectromechanical system (MEMS) manufacturing are suitable for large-area patterning covering micrometer and sub-micro size ranges, such as photolithography, but further downscaling is limited by the resolution using ultraviolet/visible light. Specialized techniques including X-ray photolithography [15] or extreme-UV [16] could produce feature size smaller than 10 nm. These “top-down” approaches (Fig. 1) are, however, often expensive and lack the necessary flexibility required in practical applications. The e-beam lithographic technique has the advantage of producing well-defined patterns down to the sub–22 nm range but this sequential writing technique is not cost-effective, and generally limited to the fabrication of smaller areas in the order of cm2 or less. However, the technique is useful for fabrication of masters by writing small structures into silicon that can be subsequently used for replication of patterns. The invention and application of nanoreplicating and self-assembling techniques have resulted in great advancement in this field. The replication techniques include replica molding (cast molding) with curable precursor, nanoembossing (nanoimprint lithography) using rigid masters, and various other forms of soft lithography, such as microcontact printing. This method has been extensively developed to become a generic platform in printing different molecular species ranging from alkanethiols (forming SAMs on a gold substrate) to proteins stamped on glass [17–19]. Also, dip-pen lithography is an emerging technique that has been used for the successful construction of protein arrays with feature sizes below 100 nm [20–22]. The so-called bottom-up technique, on the other hand, relies on the spontaneous self-assembly or organization of molecules, molecular building blocks, or colloidal particles that interact with each other to form nanostructures with lower or higher complexity. Various strategies for self-assembling processes have been demonstrated and exploited for the fabrication of reasonably accurate structures and patterns from molecular scale to macroscopic sizes. For example, self-assembled monolayers (SAMs)

[23], spontaneous organization of micelles or vesicles [24,25], microphase-separated block copolymers [26], and colloids [27,28]. To meet the increasing demand for much smaller and faster devices, a continued decrease in the feature size of device components is essential. However, the semiconductor industry is rapidly approaching a hard stop to meet Moore’s law as lithographic processes (top-down) reach technological and cost limitations. On the other hand, though it is possible to reach a feature size as small as 5–20 nm with precise structural control by self-assembly, the formation of grain boundary and defects is still a major issue. Fig. 1 summarizes the preparation of nanostructures/patterns by topdown and bottom-up patterning techniques at which the defined features from both approaches are in the equivalent length scales [29]. Integration of these two platform technologies (i.e., top-down and bottom-up processes) is a synergistic strategy to create welldefined patterns with cost-effective method. It opens up new opportunities of creating patterns and structures in widely different dimensions, and overcomes the defects and grain boundary problems from self-assembly. 2.2. Block copolymer (BCP) lithography Block copolymer lithography was first demonstrated by Register and coworkers using a diblock copolymer of polystyrene (PS) and polyisoprene (PI) which contains spherical microdomains of PI [30]. As shown in Fig. 2, the array of PI spherical microdomains was used as both a positive or negative resist at which the PI microdomains can be removed by ozonolysis or crosslinked by a staining agent. The film is then uniformly etched with reactive ion etching, until the ion beam encounters a spherical texture. Ion beam etching of the substrate produces a perfect pattern replicating the copolymer morphology transferred to the substrate. The BCP microdomain patterns were successfully transferred to the underlying substrate by two complementary techniques Fig. 2 that resulted in opposite tones of the patterns. This approach opens up a new pathway for nm-scale patterning by means of self-assembly on length scales that are difficult to obtain by conventional semiconductor lithographic methods. Similarly, polystyrene-b-polymethylmethacrylaye block copolymer (PS-PMMA) is another promising candidate for lithographic applications, since the microdomains of PMMA may be easily degraded

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

23

Fig. 2. a) A: Schematic of cross sectional view of a nanolithographic template consisting of a uniform monolayer of PB spherical microdomains on silicon nitride substrate. PS block wets the air and substrate interfaces. B: Schematic illustration of the processing flow when an ozonated copolymer film is used, that produces holes in underlying qsubstrate. C: Schematic illustration of the processing flow when an osmium stained copolymer film is used which produces dots on silicon nitride substrate. A series of corresponding TEM micrographs shows the processing steps. (b) A spherical microdomain monolayer before RIE. (c) Hexagonally ordered arrays of holes on the substrate after RIE. (d) A cylindrical microdomain of the monolayer film before RIE. (e) Finger print like pattern in silicon nitride substrate after RIE. (f) An SEM image of a monolayer film (partially etched and ozonalyzed) of spherical microdomains. After the removal of continuous PS matrix at top, empty PI domains was exposed (as holes) which appear darker in the image. (g) An SEM image of hexagonally ordered arrays of holes on silicon nitride substrate on a thick silicon wafer [30]. Copyright 1997. Reproduced with permission from the American Association for the Advancement of Science.

by UV to create a positive mask. For example, a PS-PMMA thin film may be prepared by spin coating on a neutralized semiconductor substrate, and then subjected to phase separation by thermal annealing (the substrate is coated with PS-PMMA random copolymer in order to make it non-preferential to both blocks of BCPs). Different patterns of nanoporous PS may be obtained by degeneration of the PMMA blocks by UV treatment. Note that PS-PMMA serves only as a typical example, with the principles of the control of orientation of the microdomains are applicable to other BCPs. Preferential orientation may be resolved by functionalizing the substrate with PS-PMMA random copolymers to control the polymer/substrate interaction. Russell and coworkers anchored well-defined hydroxyl terminated PS-PMMA random copolymer on the silicon substrate, producing random copolymer brushes [31–36].

eter (), usually parameterized as ␹ = A/T + B. The entropic penalty associated with the chain stretching is proportional to the degree of polymerization (N). The product ␹N that expresses the enthalpicentropic balance is used to parameterize BCP phase behavior along with the composition of copolymer. For a diblock copolymer, the volume fraction (f) of one component and the product ␹N determine which ordered structures are accessed under the order-disorder transition (ODT) [37,38]. The volume fraction of BCPs determines the shapes of equilibrium nanostructures (Fig. 3a) [39]. The Gibbs free energy for the BCP self-assembly is given by, G = Gmix − G∗

(1)

where G is the Gibbs free energy of self-assembly; Gmix is the Gibbs free energy of mixing; G* is the Gibbs free energy of microphase separation.

2.3. Self-Assembly of BCP

Gmix /RT = f A f B  + (1/NA )lnf A + (1/NB )lnf B

2.3.1. Phase behavior of BCPs in the bulk state Among the nanostructures derived from the self-assembly of various molecules and supramolecules, the self-assembled nanostructures from BCPs may be achieved with very precise structural control. The BCPs can self-assemble into a variety of ordered nanostructures through the process of “microphase separation”. Microphase separation is driven by the enthalpy of demixing of the constituent components of the BCPs while the process is constrained by the chemical connectivity of the blocks. This enthalpy of demixing is proportional to the Flory-Huggins interaction param-

where NA and NB are the degrees of polymerization of each block such that &z.hfl;A = NA /(NA + NB ). G∗ = 1.19(␹N)1/3

(2)

(3)

The scale of microphase separation is dependent on the molecular weight of the BCP, and is usually about several tens nanometer. BCPs with different volume fractions would change curvature to keep total density uniform and thermodynamic equilibrium so that different morphologies such as sphere, hexagonal cylinder, gyroid, perforated layer and lamellae etc. can be observed (Fig. 3b and c)

24

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 3. Phase diagrams for diblock copolymer melts: (a) Schematic illustration of the ordered phases including spheres (S), cylinders (C), gyroid (G), and lamellae (L) as a function of the composition (fA v ) of BCP; (b) Theoretical and (c) experimental phase diagram of PS-PI [37].Copyright 1990. Reproduced with permission from Annual Review Inc. The perforated-layer (PL) morphology is a non-equilibrium structure. CPS stands for close-packed spheres. [39], Copyright 1998. Reproduced with permission from Oxford University Press. [40], Copyright 2005. Reproduced with permission from the Materials Research Society.

[40]. The possibility of deriving various well-defined nanostructures with exceptional long-range order from BCP self-assembly realizes its promising applications in nanotechnologies by molecular engineering [30,41–44]. Actual diblock copolymer samples are usually prepared from solution by a solvent casting method and the resultant selfassembled structures often present non-equilibrium natures. During the casting process, concentration  of the polymer gradually increases, and at a critical concentration (about 10%), the system starts to phase separate into microdomains. Once the microphase separation has been initiated, the nature of the solvent influences the degree of the swelling of the polymer chains in each domain, and hence the effective volume fraction of each domain. In the case of a neutral solvent, it will be equally distributed into both microdomains, resulting in swelling both of the blocks to the same extent. On the other hand, even a slight degree of the solvent selectivity to any of the blocks in BCP can lead to a preferential swelling of one of the domains and influences the final morphology, as reported by Lodge and coworkers [45,46]. As shown in Fig. 4, the neat polymer forms the gyroid (G1) morphology at low temperature, and an order–order transition (OOT) from G1 to hexagonal-packed cylinders (C1) occurs at 185 ◦ C, as well as the ODT is found to be located at 238 ◦ C. Dilution with bis(2-ethylhexyl) phthalate (DOP) (a neutral solvent) decreases the OOT temperature, in agreement with the dilution approximation, i.e., ␹OOT ∼ −1 , but the ODT follows a stronger dependence of ␹OOT ∼ −1.4 . The slightly selective solvent di-n-butyl phthalate (DBP) stabilizes the ordered state relative to DOP. Rich lyotropic and thermotropic behavior are observed among regions of lamellae (L), inverted gyroid (G2), inverted hexagonalpacked cylinders (C2), and inverted body-centered- cubic spheres (S2 bcc). Solutions in diethyl phthalate (DEP) (a selective solvent for PS) display similar morphological behavior, with significant increase in ODT temperatures. Because of the asymmetric composition, the phase behavior in the isoprene-selective solvent tetradecane (C14) is markedly different, as only G1, C1, and S1 bcc phases are acquired. These observations clearly show that the solution state diblock copolymer self-assembly is strongly influenced by the solvent selectivity to the constituting blocks.

2.3.2. Phase behavior of BCPs in the thin film state As discussed above, the phase behavior of BCPs in bulk is determined by the degree of polymerization N, composition f, and the interaction parameter  of two blocks, and the phase diagrams of BCPs are often constructed in the form of a diagram of N vs f [37,47–49]. Since the ␹ is a function of temperature, such phase diagrams have been experimentally constructed from the temperature dependent phase behavior of BCPs. However, most of the potential applications of the well-ordered nanoscale pattern obtained from self-assembled BCPs are in the form of thin films [50–52]. The phase behavior of BCPs in thin film is known to deviate from the bulk behavior since it is influenced by additional parameters of interfacial interaction (with substrate and/or air surface) of blocks and the film thickness. Also, if a block had a preferential interaction with the substrate surface or air surface, that subsequently wets the corresponding interface and hence results in preferential orientation of microdomains of BCPs [53–58]. Mayes and coworkers pointed out that the orientation of the BCP thin film is related to the minimization of surface and interfacial energies [59]. A lamella-structured diblock thin film bounded by two interfaces is illustrated in Fig. 5a and b. This condition is regarded as symmetric wetting if both interfaces (the air surface and the substrate) tend to wet by the same segments of the BCP, On the other hand, this is considered to be an asymmetric wetting system if the interfaces are wetted by different segments. As shown in Fig. 5b, the preferential wettability at the interfaces play an important role in the orientation of BCP thin film. For symmetric boundary system, the BCP thin films will tend to form a parallel structure [60,61]. This lateral a rangement will relax the entropic penalty imposed on polymer chains when surface-parallel lamellae are constrained to film thicknesses incommensurate with integer multiples of L0 . However, many systems are in the asymmetric conditions which will result in specific morphological trends. For example, the existence of both parallel and perpendicular structures in thin film but on opposite interfaces which cannot be accounted for with symmetric surface energetics like the AHY and HY morphology in Fig. 5c [53,62–65]. BCP thin films are typically subjected to a significant degree of confinement with film thickness comparable to the polymer domain spacing. Since the

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

25

Fig. 4. Phase portraits of PS-PI as a function of volume fraction () and temperature for solutions in DOP, DBP, DEP, and C14. Filled and open circles represents ODTs and OOTs, respectively. [46], Copyright 2000. Reproduced with permission from the American Chemical Society.

Fig. 5. Schematic of diblock copolymer thin film morphologies. (a) A symmetric diblock copolymer with blocks A (light) and B (dark). (b) Cross-section of the BCP thin film indicating the bottom (1) and top (2) surfaces, with surface interaction energies S1 and S2 , respectively. (c) Summary of thin film morphologies of the BCP. FL: symmetric surface-parallel full lamella; AFL: anti-symmetric surface-parallel lamella; AHY: anti-symmetric hybrid structure; HL: half-lamella; HY: symmetric hybrid structure; PL: surface-perpendicular lamellae. [59], Copyright 2001. Reproduced with permission from Annual Review Inc.

polymer chains are in a dynamic state, the chains conformation will freely adjust to reach the lowest energy condition. However, the stretching and compression due to the confinement is energetically unfavorable, BCP in the thin-film state will tend to mitigate the entropic penalty with favorable enthalpic interactions at the substrate or free air surface [53]. There are two major categories for the definition of confinement for the substrate-supported BCP thin films, one is “hard” confinement describing a film confined

between two rigid interfaces; the other is “soft” confinement referring to a film for which one interface is in direct contact with the atmosphere [59]. Under soft confinement, chain compression/stretching due to incommensurability between the film thickness t and polymer domain spacing L0 is mitigated by the formation of islands/holes or perpendicular oriented morphology depending on surface interactions. Considering a lamella-structured AB-BCP subjected to preferential surface interactions [66], as shown in Fig. 6a–c, if block A wets both the top and bottom surfaces (symmetric wetting), for t/L0 = n (n = 1,2,3. . .), commensurability is achieved so that no island/hole formation can be observed at the air surface. Similarly, for anti-symmetric wetting the commensurability condition can be achieved if t/L0 = n + 0.5. The incommensurability conditions result in the formation of islands/holes at the free surface for intermediate film thickness and the incommensurability is described by the deviation of t/L0 from the commensurability condition. Karim and coworkers also illustrated the formation of islands/holes for incommensurate conditions as a function of molecular weight of BCPs [66]. As shown in Fig. 6d-g, when the height (h) is near some critical height of the BCP, it will form a “spinodal” pattern [67,68]. The islands will form irregular shape and become larger as h increases, eventually coalescing to form a unique pattern [69]. The example in Fig. 6g for PS-PMMA films shows the average surface pattern size ␭ decreasing with increasing of molecular weight, attributed to the increasing energetic cost of the surface deformation that accompanies pattern formation. The interplay between confinement and surface energy effects becomes more complicated for BCP thin film and the thickness variation may also lead to phase transformations behavior [59,70]. As a model system, Magerle and coworkers studied the thin films phase behavior of cylinder-structured PS-PB-PS triblock copolymer

26

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 6. Optical micrographs of lamella-forming PS-PMMA film with gradient thickness. Once the condition is close to the commensurability condition (t/L0 = 0.5), the BCP films appear featureless; with increase in thickness, the morphology progresses from islands to spinodal island/hole structures to holes, and finally featureless at the vicinity of next commensurate thickness. Molecular weight dependence on the feature scale of the developed pattern (a) Mn = 26k, L0 ≈17–18 nm, (b) Mn = 51k, L0 ≈ 27–30 nm, (c) Mn = 104k, L0 ≈ 42 nm. AFM images of (d) 26k, (e) 51k, and (f) 104k PS- PMMA gradient thickness films. (g) ␭ vs L0 for samples annealed for different time of 6 h, (solid line) and 30 h (dashed line). [66], Copyright 2001. Reproduced with permission from the American Physical Society.

using the chloroform as the non-selective solvent to swell the thin film sample and let the copolymer in the thin film retain enough mobility to self-assemble during the solvent annealing process [71]. The phase behavior was modeled in detail by dynamic density functional theory (DDFT). During the solvent annealing process the films forms terraces with thicknesses smaller and larger than the original thickness. As shown in SFM images (Fig. 7a, b), the well-defined microdomain patterns would gradually change as the height profile increase or decrease, and there are boundaries between two different structures. These SFM images show that all phases appear in a single-component BCP system under the same process condition, which indicates that film thickness is a key factor to control the self-assembled morphology (Fig. 7c,d).

The phase behavior and segregation phenomena of BCP thin films occurs at the interface have been extensively studied [59,70,71]. Russell and coworkers demonstrated the possibility to create a neutral substrate for the self-assembly of PS-PMMA [33]. The proposed mechanism is that the interfacial energies of polymers at wafer (silicon oxide) surface can be manipulated by end-grafting statistical PS-PMMA random copolymers on the surface since the polymer chains may have a large conformational entropy loss at the solid interface while undergoing the selfassembly process. Using the amorphous polymer brush can reduce the entropic driving force that forms the dewetting layer, increasing the adhesion of the thin film to the substrate and average the brush-polymer interfacial energy. The early experiments proved

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

27

Fig. 7. (a b) Tapping mode-scanning force microscopic phase images of PS-PB-PS thin films on Si substrates (the film annealed in chloroform vapor at p = 0.62). The surface is covered with 10 nm thick PB layer. Bright (dark) regions correspond to PS (PB) microdomains. Contour lines calculated from the corresponding height images. (c) Schematic height profile of the phase images as shown in (a, b). (d) Simulation of BCP thin-film morphologies. [71], Copyright 2002. Reproduced with permission from the American Physical Society.

Fig. 8. (a) Synthesized P(S-r-MMA) brushes which are terminated on one end with a moiety containing a hydroxyl (OH) group and on the other end with the TEMPO group. (b) Contact angles (u) for PS (circles) and PMMA (triangles) on P(S-r-MMA) brushes as a function of f in the random copolymer. (c) Interfacial energies ␥Sf and ␥Mf and (d) ␥(f) = ␥Mf − ␥Sf for PS (circles) and PMMA (triangles) on a P(S-r-MMA) brush as a function of f. The near-linear variation of ␥ with f is in keeping with theoretical arguments. [33], Copyright 1997 Reproduced with permission from the American As for the Advancement of Science.

that the random copolymer chemistry has the ability to manipulate the interfacial energy [33,72]. By synthesizing different volume fraction of PS and PMMA in P(S-r-MMA)s (Fig. 8a), it is possible to get the best ratio of PS and PMMA for the random copolymer to form a neutral substrate. To acquire the surface interaction relationship of the random copolymer and PS, PMMA, contact angle measurements of the film were conducted; a fully neutral substrate can be obtained at the composition of the 60 wt% PS (Fig. 8b–d). Gopalan and coworkers carried out another method to fabricate a stiff cross-linked neutral substrate from a specific copolymer synthesized with styrene (St), methyl methacrylate (MMA) and glycidyl methyl methacrylate (GMA) monomers [73]. Different surface affinity were obtained by varying the fraction of styrene in the random copolymer. Epps III and coworkers presented a controlled vapor deposition method for the facile generation of two compo-

nent gradients, overcoming some of the limitations and difficulties associated with current methods (i.e. ultraviolet/ozone (UVO) treatment, polymer brush) [74]. This simple vapor deposition approach avoids the complicated chemical synthesis of specific molecules or polymers to neutralize the surface. 3. Controlling orientation of BCP thin film Many applications using BCP thin films as templates or scaffolds require microdomains with perpendicular orientation nanostructured (for cylinder and lamellar)thin films. Accordingly, different approaches for acquiring perpendicular orientation of microphaseseparated nanostructures from the self-assembly BCPs have been developed. However, some of these approaches (such as electric fields, magnetic fields, shear fields and epitaxial crystallization

28

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 9. Plan-view bright-field TEM images of the as-cast OsO4 -stained SBS thin films with inset Fourier transforms: (a) fast (200 nL/s); (b) intermediate (5 nL/s); (c) slow (1.5 nL/s); (d) very slow (0.2 nL/s) evaporation. Bright-field TEM images of cross sections from OsO4 -stained films having the (e) perpendicular cylinder (intermediate rate) and (f) in-plane cylinder (very slow rate) morphologies. [93], Copyright 1998. Reproduced with permission from the American Chemical Society.

methods) can only be applied for some special BCP systems limiting the practical applications of those approaches in industry. Here, we will focus on the extensively studied and widely used approaches by thermal and/or solvent annealing processes. 3.1. Temperature-gradient-induced orientation Zone annealing (ZA) is traditionally used for refining metals and semiconductors where the samples passes through a temperature gradient to restrict the crystal growth to a narrow zone. Gryte and coworkers carried out pioneering studies on ZA of crystalline homopolymers [75]. Hashimoto and coworkers first applied ZA to BCP systems to create “defect free” samples with long-range order [76]. Although Hashimoto and coworkers demonstrated the feasibility of using the temperature gradient to align the microdomains of BCP, there are still many restrictions for this method. For example, only millimeter-size grains were achieved for bulk films after extremely slow speeds (2 mm/day). Karim and coworkers extended this ZA method into a novel DSA method termed cold zone annealing-soft-shear (CZA-SS) to continuously fabricate highly oriented BCP cylinders on rigid or flexible substrates at industrially relevant speeds (12 mm/min) [77]. Furthermore, Yager and coworkers demonstrated that moving photo thermal gradients using laser heating can reduce the time required for ordering thin films of block copolymers [78]. The high peak temperatures, coupled to extreme in-plane thermal gradients, allow grain growth kinetics to be enhanced by many orders of magnitude, without the onset of polymer degradation. 3.2. Surface-induced orientation Regarding the self-assembly of BCP in the thin-film state, the alignment of the microdomains will initiate from both interfaces (substrate and air surface). For a two-component systems (denoted A and B) at interfaces, the interfacial energy difference may be defined as ␥ =  A −  B . When  A =  B , the interactions between the polymers and the surface are balanced and the surface is neutral. The interaction between a chemically neutral surface and each block of a given copolymer can be balanced to form perpendicular oriented microdomains [33,79]. A variety of methods have been demonstrated to provide neutral surface [73,80–83]. The most common approach for establishing chemical neutrality is to treat

the substrate with a random copolymer brush layer that contains the same monomer units as the BCP [84–87]. Russell and coworkers demonstrated the versatility of the cross-linked random copolymer mat approach [86]. A PS-PMMA thin film with thickness equal to L0 was spin coated on a variety of substrates, each pre-coated with a 7-nm-thick crosslinked random copolymer mat. The film showed an orientation of the cylinder microdomains normal to the surface regardless of the underlying. A variety of methods have been demonstrated to provide neutral surfaces, such that well-oriented BCP microdomains may be formed in thin films. The most common approach is to modify the substrate with a random copolymer brush layer as described above. These approaches require the synthesis of specific polymer to eliminate the energy difference on the substrate. Recently, Ho and coworkers demonstrated a relatively simple approach to control the orientation of polystyrene-b-poly(L-lactide) (PS-PLLA) cylinder microdomains spanning a sub-micrometer thickness [88]. This method avoids the potential difficulty of synthesis of the random copolymers, which may not be easy or even impossible for some monomer pairs. The formation of functionalized substrate (the neutral substrate for the PS and PLLA blocks) can be achieved by a two-step functionalization process. Homogeneously functionalized SiO2 can be obtained using PS-OH through thermal annealing at 100 ◦ C, followed by functionalization using PLLA-OH through thermal annealing at 180 ◦ C. As a result, unlike direct functionalization using a mixture of PS-OH and PLLA-OH, which would cause the problem of phase separation during the annealing process because of the heterogeneous grafting, the surface properties can be finetuned by controlling the ratio of grafted PS-OH to PLLA-OH using this two-step method. 3.3. Solvent-induced orientation Methods to induce phase transitions include, temperature variation [89], solvent annealing [90–92] and evaporation [93,94]. Since increased temperature to enhance polymer mobility may be undesirable, long-time annealing process at a modest temperature is usually required to get the long-range order for directed BCP thin films. Libera and coworkers described morphological development in solvent-cast polystyrene-b-polybutadiene-b-polystyrene (SBS) triblock copolymer thin films (30 wt% PS) as a function of the solvent evaporation rate (Fig. 9a–d) [93]. Alternating and metastable

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

29

Fig. 10. (a) Schematic illustration of the formation of PS-PLLA nanopattern prepared by spin coating, where fs is the volume fraction of solvent and d is the depth of thin film. (b) Top view and (c) cross-section view FESEM images of spin-coated PS-PLLA (fPLLA v = 0.25) thin films on silicon wafer from chlorobenzene at 50 ◦ C after hydrolysis. [96], Copyright 2005. Reproduced with permission from Elsevier Ltd.

morphologies were formed under kinetically constrained conditions. Fast evaporation resulted in the formation of a microstructure with no long-range ordering. However, intermediate evaporation generated hexagonally packed perpendicular PS cylinders in a PB matrix (Fig. 9e). Very slow solvent evaporation produced fully parallel cylinders (Fig. 9f). As described above, Libera and coworkers were the first to demonstrate the effect of solvent evaporation on the orientation of cylinder microdomains normal to the film surface in a range of different BCP thin films. Russell and coworkers also showed a very rapid route to generate oriented cylindrical nanostructure by spin-coating for PS-PEO [95]. Arrays of nanoscopic cylinder microdomains of PEO are produced in a glassy PS matrix with well-defined channel size in a short time. Ho and coworkers reported the fabrication of perpendicular PLLA cylinders from PS-PLLA BCP thin film by controlling the solvent selectivity and evaporation rate [96]. The proposed mechanism with respect to the morphological evolution is shown in Fig. 10a. The microphase separation of PS-PLLA originating from the free surface formed morphology adopts a perpendicular orientation due to permeation considerations, in particular the permeation of solvent to the surface. The formation of perpendicular PLLA cylinders continuously proceeds from the free surface due to the epitaxy-like growth through the entire film. The solvent molecules are preferably evaporated through the higher permeation microdomains, resulting a concentration gradient within the film. Ultimately, the perpendicular cylinders are formed in the direction of the maximum solvent concentration gradient (Fig. 10b). At relatively higher evaporation rate, the solvent concentration gradient is subtle. At low evaporation rate, a thin PLLA layer may be formed, particularly at hydrophilic surfaces. The film casting is thus performed at a temperature above the glass transition temperature of PLLA wherein the evaporation can be sufficiently fast to lead the

kinetically controlled process to avoid the formation of a thin PLLA layer. Consequently, the perpendicular PLLA cylinders can span the entire thickness of the PS-PLLA film (Fig. 10c). 3.3.1. Solvent-annealing-induced orientation Solvent evaporation provides a strong, highly directional field to investigate the morphological evolution of BCPs in a thin-film. Significant improvement on the lateral ordering of the cylinder microdomains may be achieved after solvent annealing. Russell and coworkers investigated the thin-film morphologies of polystyrene-b-poly(ethylene oxide) (PS-PEO) [97]. Highly oriented, nearly-defect-free arrays of nanoscopic, cylinder microdomains are produced that span the entire film thickness and have a high degree of long-range lateral order (Fig. 11a). With increasing annealing time in a benzene vapor, the lateral ordering of the cylinder nanostructures can be significantly increased, giving a hexagonal ordering of the cylindrical nanostructures shown in Fig. 11b and c. The lateral ordering was achieved in PS-PEO thin films by solvent casting or annealing (Fig. 11d). The ordering is independent of the substrate and therefore it must begin at the surface. As the solvent evaporates, the concentration of solvent at the surface is lowest and a gradient in solvent concentration develops normal to the surface. With time, the concentration of solvent at the surface decreases and a microphase separation of the PS-PEO occurs only at the surface due to the increase of Tg and the unfavorable interaction between to segments (Fig. 11e). To precisely tune the thickness of swollen film and surface preferentiality at the free surface for controlling the orientation of the microdomains during solvent annealing, the flow setups in which nitrogen gas is bubbled through solvent to produce a solvent-rich vapor stream were developed. [98–102] Russell and coworkers investigated the morphologies of solvent-annealed BCP thin films by using the flow setups described in Fig. 12a, and found

30

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 11. AFM phase images of (a) spin-coated PS-PEO thin film, followed by solvent-annealed for 48 h in benzene vapor (b); (c) Triangulation image of (b); (d) The number of five-neighbor defects as a function of annealing time; (e) Schematic illustration of the solvent evaporation in the BCP thin film. [97], Copyright 2004. Reproduced with permission from John Wiley & Sons, Inc.

that films with either parallel or perpendicular microdomains could be obtained by controlling both the swollen film thickness and the concentration of solvent in the swollen films [99]. Also, Ober and coworkers found the cylinder-to-sphere phase transitions during solvent annealing using similar flow setups [100]. The morphological evolution from cylinder to sphere could be found while using a selective solvent to anneal the BCP thin film and the forming morphologies are independent of solvent annealing history. Furthermore, Ross and coworkers used mixed solvent for annealing silicon-containing polystyrene-polydimethylsiloxane thin films, and found that various nanostructures are formed on varying the flow rate of two different solvent vapors and an inert gas [101]. The authors systematically studied the effect of varying the flow rates of different solvent vapors along with the diluent gas on the microphase separation of BCP thin films and reported

the microdomains mapping as a function of vapor pressure and swelling ratio to compare that obtained from solvent reservoir (Fig. 12b). This result provides a general framework to understand the solvent annealing process and design processing conditions that allow specific morphologies to be achieved in a BCP thin film. 3.3.2. Solvent-evaporation-induced orientation Solvent-annealing techniques can be efficiently used to kinetically trap morphologies that cannot be achieved by other conventional thermal treatments. There are many variables that affect the final morphology of the BCP, including the nature of the solvent, solvent concentration, film thickness and solvent evaporation. Epps and coworkers systematically investigated the effect of the solvent evaporation or the removal rate on the final morphology of cylinder forming ABA triblock copolymer thin film. [102] The

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

31

Fig. 12. (a) Schematic illustration of the set-up of solvent annealing at which the BCP thin films can be annealed along with the film swelling, and the morphological evolution can be tracked in-situ. (b) A phase diagram of PS-PDMS thin film morphologies by solvent vapor annealing under different partial pressures of toluene and heptane at room temperature. [101], Copyright 2012. Reproduced with permission from the American Chemical Society.

rates for solvent-swollen PS-PLLA. Phase evolution from disorder to perpendicular cylinder, and then to gyroid was generated by using a PS selective solvent followed by solvent evaporation (Fig. 14a). PS-PLLA (DG) thin film exhibits a clear transition from DG parallel to the air surface (characteristic crystallographic planes of (111)G , (110)G and (211)G ) into co-existing (110)G and (211)G planes, and finally transforms to the (211)G plane. These transitions can be explained by the preferential segregation of constituted block to the surface (i.e., the thermodynamic origin for self-assembly) that affects the relative amount of each component at the air surface (Fig. 14b–g). With decreasing solvent removal rate, the disordered phase will be transformed into parallel cylinders, and then directly to (211)G without forming any perpendicular cylinders. Also, the morphological evolution shows strong dependence of solvent removal rate, but only at the initial stage of the evaporation process due to the anisotropy of cylindrical phase (Fig. 14h). However, if the morphology is transformed back to the DG (isotropic structure), the morphological evolution is only related to the variation of the surface composition (Fig. 14i).

3.4. Directed self-assembly of BCP orientation

Fig. 13. Thin-film BCP morphologies as a function of solvent removal rate. (a) Thickness profile of the BCP thin films during chloroform vapor annealing for 2 h followed by different removal rates. (b) AFM phase images of the BCP thin films corresponding to the annealing profiles. [102], Copyright 2012. Reproduced with permission from the American Chemical Society.

BCP thin film forms well-ordered parallel cylinders by annealing in chloroform vapor (Fig. 13a) so that the morphology can be trapped by “instantaneous” solvent removal. However, when the solvent removal rate is very low (0.05 nm/min), the cylinders at the air surface reorient to the perpendicular orientation in the entire film. If the solvent removal rate is intermediate (0.5 nm/min–0.1 nm/min), it appears to be featureless in some regions of the thin film, but parallel lamellae might form in other regions. The morphological transformations of BCP thin film as a function of solvent removal rate are shown in Fig. 13b.Ho and coworkers [103,104] also demonstrated a similar approach to achieve controlled ordering of PS-PLLA thin films on a neutral substrate using a homemade solvent annealing apparatus and the morphological transformation of BCP nanostructured thin films as a function of solvent evaporation

The concept of directed self-assembly (DSA) on topographically patterned substrates can be traced back to the alignment of liquid crystals. In the 1920s, Zocher and Coper aligned p-azoxyanisole and p-azoxyphenetole liquid crystals on a glass surface which was rubbed unidirectionally using a filter paper or cotton wadding [105]. In the late 1970s, with progress in lithographic techniques, extensive studies of surface topography-induced orientation in inorganic crystalline materials, such as potassium chloride, silicon, and germanium as well as liquid crystals, were carried out by Smith and coworkers [106–108]. DSA is classified into two major categories as graphoepitaxy and heteroepitaxy. A schematic illustration for DSA of different functional entities is given in Fig. 15-I. The term “graphoepitaxy” is defined as preferential crystallographic alignment resulting from surface topographic patterning (as shown in Fig. 15-IIa) in order to distinguish its mechanism from “epitaxy”, wherein the assembling units are directed by the chemical forces arising from a flat crystalline substrate having the same characteristic periodicities as of the crystalline sample. A very similar approach has also been employed for the self-assembly of colloidal particles. The sizes of the colloidal particles used in most of the DSA experiments are in the order of hundreds of nanometers. Heteroepitaxy is defined as molecular alignments guided by preformed chemical patterns in the substrates, as the schematic illustration shown in Fig. 15-IIb [109].

32

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 14. (a) Thickness profile of PS-PLLA thin film during solvent annealing (chloroform) and evaporation (20 nm/min) process. AFM height images of the solvent-annealed thin film followed by evaporation to thicknesses of (b) 550, (c) 520, (d) 490, (e) 460, (f) 430, and (g) 400 nm. The obtained morphologies are represented in different colors: perpendicular cylinder (yellow), (111)G plane of DG (blue), (110)G plane of DG (red) and (211)G plane of DG (green). (h) Plot of calculated area fraction of the matrix phase, fs(X0 ), cutting along the planes parallel to various crystallographic planes, versus X0 . (i) Phase portrait of PS-PLLA thin film as a function of solvent evaporation rate and swollen thickness. [104], Copyright 2015. Reproduced with permission from the American Chemical Society. (For interpretation of the references to colour in this figure legend, the reader is referred to the web version of this article.)

Both topographic and chemically patterned substrates with a characteristic length scale from hundreds of nanometers to hundreds of micrometers have been largely used to direct 2D or 3D self-assembly of colloidal particles by using a range of deposition methods. BCPs are well-known self-assembling systems, wherein the chemically distinct constituent blocks microphase separate into nanoscale periodic domains. The patterned templates are principally used to control the ordering and orientation of the microdomains of BCPs. The microdomains of BCP can adjust their sizes, spacing, and including their shapes or morphologies to accommodate the distance between template walls and other conditions imposed by the templates, unlike atoms or spherical colloids, which have fixed sizes, shapes, and spacing. The ability of the

polymer chains to extend or to compress, and to adjust the shape of the intermaterial dividing surface (IMDS) between the blocks gives distinct behavior in the templated self-assembly of BCPs. On the whole, the BCP can be both more compliant and variable with respect to the template size in comparison with that of colloids or atoms. Therefore, the DSA of BCPs in the patterned substrates provides a refinement in the fabrication of large-area, periodic and defect-free nanostructures. In contrast to conventional epitaxy (in which the thin-film lattice has a well-defined relationship to that of substrate), the patterned templates for DSA are not essentially crystalline. In the case of DSA of BCPs, if the length scale of the template is large enough in comparison with its characteristic periodicity, the effects of incommensurability are negligible, while the defects are

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

33

Fig. 15. (I) Schematic illustration of DSA systems in well-known self-assembling materials including crystalline materials, BCPs and colloidal particles. Characteristic lengths (L0 ) of each of these systems and that of the template (LS ) are also indicated. [1], Copyright 2006. Reproduced with permission from John Wiley & Sons Inc. (II). Schematic illustration of BCP self-assembling into a regularly sized microdomains such as hexagonal lattices of spheres or cylinders that are normally polycrystalline and eventually contain defects. Graphoepitaxy (a) and heteroepitaxy (b) create long-range order with templates pre-patterned on a length scale greater than the natural lattice of the BCP. [109], Copyright 2008. Reproduced with permission from the American Association for the Advancement of Science.

Fig. 16. (a) AFM image (left) of a 2D periodic spheres of PS-PVP film on top of a mesa. Voroni tessellation of the sphere array (right) clearly shows that absence of defects over the approximately 40 × 40 domain array. The sharp high-order diffraction peaks in the associated fast Fourier transform is given as an inset which indicates that the entire mesa region is well ordered with hexagonal symmetry. Schematic illustration of template and cross-sectional schematic view of a PVP (blue) brush on the SiO2 substrate surface (green) and a monolayer of PVP spheres (blue) encased in a styrene matrix (red). (b) Scanning Force Microscopic image of PS-PVP film on top of a mesa. [111], Copyright 2001. Reproduced with permission from John Wiley & Sons Inc. (For interpretation of the references to colour in this figure legend, the reader is referred to the web version of this article.)

mainly attributed to entropic effects. If the length scale is only a few times the characteristic periodicity of the BCP, morphologies have been observed due to the interplay between incommensurability and the size of the microdomain. On the other hand, if the length scale is comparable to that of domain size, the self-organizing behavior of polymer chains and the microphase-separated domains occur within the template with an epitaxial relation to the patterned substrate. Therefore, the domain size, shape, and defects are principally decided by the mismatch between characteristic periodicity of the BCP to the template shape and width. 3.4.1. Topographic patterned surface (graphoepitaxy) In graphoepitaxy, topographically patterned substrates are employed to induce orientation with controlled lateral ordering of the microdomains in BCP thin films. Kramer and coworkers pioneered this field using substrates with patterns of long trenches consisting of an alternate series of mesas and wells [110,111]. The depth of the trenches and width of mesa were found to be the crucial factors in achieving good lateral ordering. They demonstrated the formation of long-range-ordered, in-plane sphere arrays on a

topographically patterned substrate. A monolayer of polyvinylpyridine (PVP) spherical domains from a PS-PVP film was prepared on a photolithographically patterned substrate, then annealed to produce ordered structures propagating several micrometers from the sidewalls of the grooves and the edges of the mesas as shown in Fig. 16a [110]. In this system, since LS  L0, the effects of incommensurability are negligible and hence the spacing of spheres in the groove and on the mesa is indistinguishable from that on a smooth substrate. A scanning force microscopic image of PS-PVP film on top of a mesa is shown in Fig. 16b. A well-ordered PS-PVP spherical arrays in a 2D topographical patterns whose diagonal width is in the range of micrometers demonstrated by the same group [111]. Ross and coworkers extended this work and identified the effect of lithographic defects and derived the systematic relation of channel width and polymer sphere accommodation [112]. In addition to controlling the ordering of spherical morphology of BCP microdomains within the topographic pattern, Sibener and coworkers also studied the graphoepitaxy method to guide the cylinder forming BCPs [113]. Thomas and coworkers also demon-

34

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 17. (a) Both top-down and side-view schematic illustrations showing the arrangement of PS-PDMS in the region surrounding a single post made from cross-linked HSQ resist. The nanopost and substrate surfaces were chemically functionalized by a monolayer of PDMS brush. (b) SEM images of a poorly ordered monolayer of BCP spherical microdomains formed on a flat surface (without templating). The grain boundaries are indicated with dashed lines. Inset: 2D Fourier transform of the microdomain positions, evidencing the absence of long-range order. (c & d) SEM images of perfectly ordered BCP spheres formed within 2D lattice of HSQ nanoposts. [44], Copyright 2008. Reproduced with permission from the American Association for the Advancement of Science.

strated that the graphoepitaxy method is an excellent tool for the self-assembly of PS-PDMS thin films with 2D periodic nanostructures with precisely determined orientation and long-range ordering (Fig. 17) [44]. In this case, the substrate surface is patterned with nano-sized posts that act as a host to spherical or cylinder microdomains of the BCPs. The nanoposts are designed to be indistinguishable both chemically and physically from the BCP. The top-down and side- view illustrations exhibit the arrangement of PS-PDMS in the region surrounding a single post made from cross-linked HSQ resist is shown in Fig. 17a. The SEM images of poorly (Fig. 17b) and perfectly ordered spheres (Fig. 17c and d) are also shown. Another example for graphoepitaxy was demonstrated by Russell and coworkers [51]. They used a very special type of saw-tooth like substrate pattern prepared by surface reconstruction of deliberately miscut single crystal wafers of sapphire. These specially patterned surfaces were used to guide the self-assembly of PS-PEO thin films which exhibits a high tolerance for pattern defects as shown in Fig. 18. The preparation strategy is schematically illustrated in Fig. 18(a–d). The PEO cylindrical microdomains were oriented perpendicular to the film surface with long-range quasi-crystalline order (Fig. 18f). The fabricated vertically oriented cylindrical arrays are of 3 nm diameter with a spacing of 6.9 nm, offering the possibility to make remarkable areal densities in excess of 10 terabit per inch2 by pattern transfer. The morphologies of BCPs when confined in cylindrical pores of nanoporous alumina templates have also been investigated by the same group [114]. This study concludes that when the pore diameter of the template is comparable to the domain spacing of BCPs and they are incommensurate and most importantly the equilibrium bulk morphologies of the BCP are significantly altered due to severe chain frustration at the curved interface.

3.4.2. Chemical patterned surface (Heteroepitaxy) DSA by heteroepitaxy involves the introduction of chemical heterogeneity to the substrate, and the length scale of surface heterogeneity is close to that of the microdomain periodicity of BCP. This method is also an effective method to align BCP microdomains in the desired patterns. Early work by Russell and coworkers investigating the self-assembly of lamellar PS-PMMA on a chemically heterogeneous surface striped with oxide and metal and with these kinds of templates, different microdomains alignments were reported as a function of the degree of commensurability [115]. A symmetric PS-PMMA was dip-coated on chemically patterned substrates composed of alternating SiO2 and Au stripes, preferentially wetted by PMMA and PS, respectively, as illustrated in Fig. 19a. When the lamellar period (L0 ) of the BCP matches the substrate pattern length (LS ), perpendicularly oriented PS-PMMA lamellae is formed with the highest in-plane order. When there is an increased

Fig. 18. Schematic illustration of the preparation strategy used for fabricating BCP cylindrical microdomains on highly oriented crystalline facets on a single crystal surface. Firstly, to obtain the saw tooth patterns in the sapphire (a) was annealed in air at 1300◦ to 1500 ◦ C for 24 h. (b) The flat surface of the sapphire reconstructs into facets with the (1101) and (1102) planes on opposite edges due to thermal annealing. (c) PS-b-PEO thin films were spin-casted on these saw tooth surfaces that, upon annealing in o-xylene vapors. (d) Producing highly ordered perpendicular cylinder microdomains. (e) AFM height image of the substrate. (f) AFM phase image of solvent-annealed PS-PEO films. Scale bars, 200 nm [114], Copyright 2004. Reproduced with permission from the American Chemical Society.

mismatch between LS and L0 , the defect density increases as shown in Fig. 19. The pattern is highly defective at both low and high mismatch as shown in Fig. 19b and g. Nelealy and coworkers observed very similar results in symmetric PS-PMMA on flat substrates with alternating hydrophilic/hydrophobic patterns of an e-beam patterned selfassembled monolayer [116,117]. After this key finding, Nealey and coworkers extensively studied DSA of BCPs on chemically patterned substrates fabricated using advanced lithographic processes [118]. The chemically nanopatterned substrates are gen-

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 19. (a) Schematic illustration of DSA of PS-PMMA on a chemically patterned substrate with alternating SiO2 and Au stripes. The PS block and the PMMA blocks were preferentially wet the Au and the SiO2 surfaces, respectively. (b-g) AFM phase images of the free surface of PS-PMMA films for different of molecular weights, solution-cast on a chemically heterogeneous substrate with LS = 60 nm L0 /LS = 0.61 (b), 0.74 (c), 0.78 (d), 0.99 (e), 1.4 (f), 2.05 (g). [115], Copyright 1999. Reproduced with permission from the American Physical Society.

erally prepared by extreme ultraviolet interferometric lithography (EUV-IL) of self-assembled monolayers or random copolymers deposited on the substrates, followed by soft X-ray irradiation or plasma etching. For very high resolution, e-beam lithography is predominantly used to pattern the substrates. The final morphology of BCP thin films is principally determined by the size and quality of the lithographically defined pattern. One of the notable studies by the Nealey and coworkers, heteroepitaxy is the process of making size matching self-assembled monolayers (SAMs) patterns on Si wafers by using EUV- IL interferometric lithography for bulk lamellar PS-PMMA BCP system [118]. The surface patterns of SAMs were chemically modified to have polar groups, and hence the PMMA block would exhibit preferen-

35

Fig. 20. A (a–g) Schematic illustration of the nanopatterning of SAMs on Si wafer and the subsequent DSA of PS-PMMA. (a) A SAM was prepared on a Si wafer. (b) Photoresist was spin-casted on the top of SAM and subsequently patterned by EUVIL with alternating lines and spaces of period (Ls). (d) Exposing the sample to soft X-rays in the presence of oxygen transfers the photoresist pattern to the SAM layer and the photoresist was also removed (e). A symmetric, lamellar PS-PMMA (with the period of L0 ) is spin-coated on the chemically patterned SAM surface and annealed (f and g), to achieve surface-directed block copolymer morphologies. When Ls ∼ L0 , PSPMMA copolymer will self-assemble to perfectly match the underlying substrate (h). (B) & (C) SEM image and corresponding Fourier transform analysis of PS-PMMA thin films (L0 = 48 nm and film thickness is 60 nm) on chemically nanopatterned surfaces. [118], Copyright 2003. Reproduced with permission from the Nature Publishing Group.

tial wetting on SAMs pattern whereas the other regions exhibit non-preferential wetting. When the period of the surface patterns closely matches to that of the BCP, it perfectly aligned on the patterned substrate with long range ordering. Fig. 20A shows the two-step process employed to prepare well-defined chemical nanopatterned surfaces. The process starts with the patterning of photoresists on the surface with alternating lines and spaces with periods between 45 and 55 nm using EUV-IL, followed by the subsequent pattern transfer to an underlying self-assembled monolayer (SAM). After the photoresist has been removed, a 60-nm thick film of symmetric lamellar PS-PMMA (L0 ∼48 nm) was spin-casted and annealed on the chemically patterned surface. When the SAM surface was chemically patterned with a period of Ls. 47.5 nm that matched L0 , a perfect ordering of a PS-PMMA pattern over a 5 mm by 5 mm area as shown in Fig. 20B. Fourier transform analysis of PSPMMA thin films is shown in Fig. 20C. Patterning was carried out by e-beam lithography for all features with periods >60 nm and by

Fig. 21. (a) Schematic illustration of the method to prepare lithographically defined chemically patterned surfaces and subsequent DSA of BCPs. (A) E-beam lithographic patterns on the substrates at Ls = L0 (left) and Ls = 2L0 (right). (B) Chemical patterns on the substrate after O2 plasma treatment (C) PS-PMMA BCP thin film. (D) DSA of BCP following the underlying chemical pattern. (b). (A to D) SEM images of prepared substrates with patterns by e-beam resist with Ls = 39, 78, 27, and 54 nm, respectively. (E to H) SEM images of the PS-PMMA film on top of the patterns defined by the corresponding e-beam writing above. The corresponding lattice pitch on the PS-PMMA samples is Lp = 39, 39, 27, and 27 nm, respectively. [120], Copyright 2008. Reproduced with permission from the American Association for the Advancement of Science.

36

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

EUV-IL for features with the fabrication strategy is further extended by the same group to many commonly required features for manufacturing the circuits, for example, geometries such as jogs and T-junctions, dense and isolated line patterns [119]. This technique opens up the window for fabricating self- assembled 3D structures from 2D surface templates. In addition, Nealey and coworkers extended the DSA methods for feature density multiplication and pattern quality rectification [120]. With density multiplication with respect to the prepattern, not only is the resolution increased, but also the exposure time is considerably reduced with arrays of vertical PMMA cylinders in a PS matrix at two different densities of L0 = 39 nm, and L0 = 27 nm (Fig. 21b). The limitations in defining the individual feature dimensions of the prepattern can be relaxed because of the rectification action by the BCP which enables the use of faster resists and higher e-beam currents. Thin films of PS-PMMA were used as model systems to demonstrate both density multiplication and pattern quality rectification on a prepatterned substrates using e-beam lithography. The self-assembly process is schematically illustrated in Fig. 21a. The substrate was initially modified with hydroxyl-terminated polystyrene brushes and then a photoresists was deposited. The hexagonal pattern with a lattice constant is written using e-beam lithography such that Ls = nL0 (n = 1, 2) over a total area of 100 mm by 100. The patterned surface is then subjected to O2 plasma to generate a chemical contrast on the substrate. The improved quality of patterning is principally afforded by DSA of BCP films on lithographically defined chemically patterned surfaces in comparison to the lithographically defined patterns itself (Fig. 21b).

4. Silicon-containing BCPs for BCP lithography The growing demand for nanoscale fabrication methods to overcome the problems with respect to the inherent featuresize limitations of photolithography and the low throughput of electron-beam lithography and the high cost of EUV lithography has motivated the search for cost-effective nanoscale fabrication technologies [30,37,121–124]. Among all the lithographic methods, BCP lithography provides a simple and scalable method for nanopatterning in which the feature sizes and geometries are controlled via the chain length and volume fraction of BCP [8]. Nevertheless, in contrast to the top-down approaches (i.e., conventional lithographic methods), the bottom-up (self-assembly) approaches will inevitably encounter problems for precision control. It is noted that the defect density, line-width roughness and feature size for BCP lithography are related to the Flory-Huggins interaction parameter ␹. Block copolymers with high ␹ have a large driving force for reducing the defect density giving sharp defined line and smaller feature size, and are therefore much more desirable for achieving long-range ordering and precision control of smaller line width [125]. Also, the BCP thin films as nanopatterns must be transferred into other functional materials for practical applications [126]. Accordingly, the blocks comprising the BCP must possess high etch contrast between the blocks to offer selective removal of one block and to give nanostructured texture made from the other block for pattern transfer [121]. Consequently, the design and synthesis of block copolymers with high ␹N and one highly etch-resistant block are demanded for the production of welldefined, sub–10 nm features with low defect density and small line-width roughness in a cost-effective way [127]. Recently, BCPs comprising inorganic silicon-containing components, such as polydimethylsiloxane (PDMS), polyferrocenylsilane (PFS) and polyhedral oligomeric silsesquioxane (POSS) have been extensively studied because of their valuable properties for practical applications, in particular for nanopatterning. Those silicon-containing

Fig. 22. AFM image of P(PMDSS)-DG surface topography after ozonolysis and UV treatment. The removal of PI network resulting in tortuous pathways within a siliconoxy carbide matrix. The bright regions represent highest position while the dark regions are at zero height. The maximum height in the image is 10 nm. The inset shows the [012] view of the DG structure at zero height of a volume-rendered surface. [128], Copyright 1999. Reproduced with permission from the American Association for the Advancement of Science.

blocks can form a thin silicon oxide layer that can resist further etching by oxygen plasma. Accordingly, combining a low etchresistant block with a silicon-containing block in the synthesized BCPs will provide a good etching selectivity for practical uses. Meanwhile, the silicon-containing block is usually highly incompatible with other constituted blocks that can give rise to a high ␹ value for self-assembly. Therefore, the use of silicon-containing BCP thin films for nanopatterning has become a main stream for BCP lithography using such a self-assembled pattern with nanoscale texture as a mask for lithographic works. 4.1. Oxidation mechanism of silicon-containing BCPs As mentioned in previous section, silicon-containing BCPs have been extensively studied because of their valuable properties for lithographic applications. Most importantly, the silicon-containing blocks can be oxidized by various methods, including thermal curing, UV/ozone treatment and oxygen plasma/RIE treatment. Meanwhile, the counterpart will be removed simultaneously so that the remaining oxidized patterns can serve as the etch mask for pattern transfer. In this section, we will briefly describe the oxidization mechanism of the silicon-containing blocks under various methods. Thomas and coworkers first demonstrated the feasibility of oxidizing silicon-containing BCPs for the fabrication of an interconnected silicon oxide nano-object [128]. They verified that the silicon-containing triblock copolymers exhibit the double gyroid and inverse double gyroid morphologies (space group Ia3d), and those textures can be successfully oxidized through a one-step process. While treating the sample under ozonolysis and ultraviolet irradiation at room-temperature, the hydrocarbon block will be degenerated, and the silicon-containing block will be converted into a silicon oxycarbide ceramic. As shown in Fig. 22, for the poly(pentamethyldisilylstyrene) (P(PMDSS))-DG, the PI networks can be selectively removed whereas the P(PMDSS) matrix will be converted into silicon oxy carbide to give an interconnected tortuous ceramic network [128]. Among all the oxidation methods, the O2 plasma/RIE process are the most extensively studied methods and routinely used for the BCP lithographic process in the past decades. O2 or O2 -containing plasmas are most commonly employed to modify polymer surfaces [129]. RIE may be divided into two etching processes: chemical and physical processes [130].

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

37

Fig. 23. (a) Suggested mechanism of the oxygen plasma oxidation of PDMS. [133] XPS spectra of a PDMS film before (b) and after (c) 10 min etching in the oxygen plasma. [134], Copyright 1985. Reproduced with permission from AIP Publishing LLC. XPS spectra of (d) Si 2p; (e) O 1 s and (f) C 1 s of PS-PDMS and oxidized PS-PDMS. [135], Copyright 2010. Reproduced with permission from the Royal Society of Chemistry.

Chemical etching process is the chemical reaction occurring between the surface of interest and active plasma species. The reaction takes place after the absorption of the reactive species followed by desorption of the products from the surface. The physical etching process involves the bombardment of positive ions on the surface. The accelerated positive ions are focused on the target substrate to break the surface bonds on impact, which ultimately results in etching. The balance between these two etching processes depends on various parameters, such as gas composition, pressure, temperature and reactor design [131,132]. Consequently, in the following section, we will specially focus on O2 plasma/RIE process and describe the oxidation mechanism of different silicon-containing blocks (such as PDMS, PFS and POSS). PDMS-containing systems are the most common siliconcontaining block. PDMS is extremely hydrophobic because of the methyl groups surrounding the Si O backbone. After the O2 plasma treatment, polar functional groups will be introduced on the PDMS backbone, such as primarily silanol (SiOH) groups (Fig. 23a) that lead a decrease in the contact angles of water from 120◦ to 20◦ [133]. The oxidization of PDMS under oxygen plasma was studied in 1985 by Babich and coworkers [134]. They used XPS and multiple internal reflection infrared spectroscopies (MIRS) to study the etching of PDMS films by a radio frequency (rf) oxygen plasma. An XPS measurement showed that after the plasma etching the polysiloxane films exhibit increased oxygen and reduced carbon coverage, accompanied by binding energy changes with Si 2p electrons varied from 102.8 eV to 104.9 eV, reflecting the increase of oxygen neighbor for Si atoms. Accordingly, during plasma etching, the methyl groups of the polysiloxane film will be replaced by oxygen (Fig. 23b and c). The spectral evidences obtained by the MIRS technique further indicate that the surface layer formed during plasma etching is a ∼10 Å thick structurally strained SiO2 . Similar XPS results were

also observed by Ho and coworkers (Fig. 23e) [135]. The Si 2p signal at 102.0 eV assigned to untreated PDMS, shifted to a higher binding energy after oxidation (Fig. 23d) whereas the O 1 s peak intensity at 532 eV increases after oxidation. The broadening of C 1 s peak at 285 eV after the RIE treatment is evidence for the formation of SiOC nanostructure; note that the peak intensity decreases, but does not disappears. (Fig. 23f) These results indicate that the oxidation converts the PDMS surface into a silica-like layer with thickness ranging from several to tens nanometers, depending on oxidation time and intensity. However, for PDMS-containing BCP, the surface tension of PDMS (␥PDMS = 19.9 mN/m) is usually significantly lower than other constituted blocks. As a result, the PDMS block will preferentially segregate to the air/polymer interface. Usually, a two-step process consisting of a CF4 /O2 RIE treatment followed by O2 RIE treatment is carried out for the BCP lithography process such that a PDMS wetting layer could be etched under appropriate conditions first, and then the PDMS will be oxidized by the O2 RIE treatment in a continuous process [135]. Fluorocarbon plasmas are extensively used to etch SiO2 but the process of etching with such plasmas is very complex and diverse. The C/F atomic ratio of the feed gas is a critical parameter in deciding the nature of the resultant plasma [136]. The CF and CF2 radicals [CFx ] in the plasma can be correlated to the formation of fluorocarbon film on the substrate whereas fluorine atoms account for the etching of the substrate. With increase of the C/F atomic ratio, the plasma contains relatively higher amounts of CF and CF2 radicals formed at the expense of atomic fluorine, and eventually gives rise to polymerization instead of etching [137,138]. A significant reduction of the SiO2 etching rate has been observed for even a very thin fluorocarbon film deposited on the substrate. To successfully overcome this so-called etch stop, the fluorocarbon gases are diluted with gases like O2 [139–141]. Since O2 gas is a potential scavenger for carbon, and thus favors

38

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 24. Copolymer consisting of polyhedral oligomeric silsesquioxane (e-POSS), tert-butyl methacrylate (TBMA), methacrylate (MA), and itaconic anhydride (IA) (A) before plasma etching (film thickness: 147 nm on 350-nm hard baked novolac); and (B) after 150 s of oxygen plasma etching (film thickness: 70 nm). In both spectra, the novolac spectrum has been subtracted. [142], Copyright 2004. Reproduced with permission from the American Chemical Society.

production of the etching component (atomic fluorine) than that from polymerization. Similarly, the POSS-containing blocks can be oxidized under the O2 plasma/RIE process. While the POSS-containing block is exposed to the O2 plasma, the cage structure of the POSS molecule will transform into a SiOx network layer structure. As demonstrated by Argitis and coworkers, the FTIR spectra of a POSS containing copolymer clearly show the transformation of the POSS chemical structure during the O2 plasma etching [142]. As shown in Fig. 24, before etching, the silicon-containing part of the copolymer can be identified by the 1112 cm−1 peak at (corresponding to Si O Si asymmetrical stretch) and the 805 cm−1 peak (assigned to Si O Si bending) as well as the 450 cm-1 peak (assigned to Si O Si wagging). After etching, those peaks will become predominant at which the Si O Si stretching peak will slightly shift (∼6 cm-1) to lower wavelengths, and a shoulder band will appear around 1138 ∼ 1249 cm-1, suggesting the transformation of the cage structure to a network structure. These data suggest a strong oxidation of the POSS layer and the formation of an oxide-like surface, as evidenced by XPS analysis [142]. In comparison to PDMS and POSS, the polyferrocenylsilanes (PFSs) are metal-containing polymers with iron and silicon in the polymer backbone. The presence of iron in the main chain gives PFSs a number of properties, including resistance to RIE. On exposure to an O2 plasma, PFS thin film form an iron/silicon oxide layer at the surface of the film as an etch barrier. The oxidation mechanism was verified by Thomas and coworkers; [143] they used the depth profiling XPS and Auger electron spectroscopy (AES) to characterize the oxide layer of PFS thin film. After O2 plasma treatment, the XPS data for the iron shows a shifting of signals to higher binding energies (Fe 2p3/2 electron was varied from 708.8 eV to 712.6 eV and 2p1/2 electron was varied from 721.5 eV to 726.0 eV, Fig. 25a), and the peaks because broader compared to the iron signals of the untreated film. The shift to higher binding energies for the Fe electrons indicates a higher oxidation state. The XPS data for silicon also show comparable trends as discussed for iron at which a shift to higher binding energy can be observed as a result of the O2 plasma treatment (Si 2p from 101.1 to 102.7 eV, Fig. 25b). The AES depth profile further confirms the observations from XPS measurements, and indicates that the thin oxide layer is approximately 10 nm thick (Fig. 25c). Furthermore, owing to the presence of iron in the poly-

mer and its oxide layer, low etching rate can also be obtained while fluorocarbon plasmas (CF4 /O2 ) are applied. 4.2. POSS-containing BCPs Silsesquioxanes nanostructures with the empirical formula RSiO1.5 , (where R can be a hydrogen atom or any organic functional group) can be formed as different molecular structures, including random, ladder, cage or partial cage structures [144,145]. The chemistry of silsequioxane has been studied over half a century. Recently, developments in silsequioxane chemistry has concentrated on silsesquioxanes with specific cage structures. These polyhedral oligomeric silsesquioxanes, commonly referred to by the acronym “POSS”, are attractive organic-inorganic hybrid materials because of their molecular cage structure, flexible functionality, and high etch resistance to oxygen plasma [146–148]. The dimensions of the POSS structures range from 1 to 3 nm, dependent upon the number of Si atoms at the central cage and nature of the peripheral components [149]. Because of their unique structures, POSS derivatives are useful building blocks for the preparation of nanostructured materials. The POSS unit can also be viewed as a well-defined macromonomer to undergo further polymerization. On combining the POSS unit with another constituted block, these synthesized POSS-containing BCPs can also undergo the self-assembling process, resulting in well-ordered supramolecular structures. Among all the POSS structures, the T8 -POSS (a cubic inorganic Si8 O12 core surrounded by eight tunable substituent groups) derivatives are the most extensively studied system. In the following section, we will focus on self-assembled T8 -POSScontaining BCPs thin films for lithographic applications. Gopalan and coworkers demonstrated that POSS-containing methacrylate monomer can be used to synthesize POSS-containing BCPs via living anionic polymerization [148]. Two kinds of BCPs: poly(methyl methacrylate)-b-poly(methyl acrylate)POSS (PMMA-PMAPOSS) and polystyrene-b-poly(methyl acrylate)POSS (PS-PMAPOSS) were synthesized. Their chemical structure and ␹ values were given in Table 1. The semi-crystalline nature of the POSS domains and the high etching contrast between PMAPOSS domains and PS or PMMA blocks provides the advantage of using self-assembled PS-PMAPOSS and PMMA-PMAPOSS to prepare high-resolution nanopatterns. The experimental process for the fabrication of the nanopatterns is illustrated in Fig. 26a. First,

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

39

Fig. 25. (a) XPS spectra of Fe2p of the as-cast film (solid line) and the O2 -RIE treated film (dashed line). (b) XPS spectra of Si2p of the as-cast film (solid line) and the O2 -RIE treated film (dashed line). (c) Auger electron spectroscopy (O KVV, C KVV, Fe L3 VV, and Si KL23 L23 ) depth profile of an oxygen etched film of poly(ferrocenyldimethylsilane) of 106 nm. Cycles start at the surface and end at the substrate. [143], Copyright 2001. Reproduced with permission from the American Chemical Society.

Fig. 26. (a) Schematic illustration of preparing silicon oxide line and nanopore arrays. SEM images of as-cast (b) PS-PMAPOSS and (c) PMMA-PMAPOSS films; solvent-annealed (d) PS-PMAPOSS, and (e) PMMA-PMAPOSS; O2 -RIE treated (f) PS-PMAPOSS and (g) PMMA-PMAPOSS. The insets are the cross-sectional view of O2 RIE treated PS-PMAPOSS and PMMA-PMAPOSS films. [148], Copyright 2009. Reproduced with permission from John Wiley & Sons, Inc.

the spin-coated POSS containing BCP thin films is treated by simple solvent annealing on unmodified substrates to obtain both vertically oriented lamellae and cylinders, and then converted into a hard mask by a single-step highly selective oxygen plasma etching. The unstained SEM images of as-cast thin films of PSPMAPOSS and PMMA-PMAPOSS display lamella-forming (Fig. 26b) and cylinder-forming nanostructures (Fig. 26c) with mixed orienta-

tion, respectively. The vertical orientation of well-ordered lamellar and cylinder domains can be further obtained via solvent-annealing method. The thin films of PS-PMAPOSS and PMMA-PMAPOSS were solvent-annealed in acetone for 24 h and carbon disulfide (CS2 ) for 10 h, respectively. After solvent annealing, both samples give vertically oriented well-ordered structures (Fig. 26d and e). Finally, the self-assembled vertically oriented nanostructures formed from

40

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Table 1 List of various silicon-containing BCPs, their chemical structure and the corresponding ␹ values of the BCPs. Name

Structure

␹ value

Polystyrene-b-poly(methyl acrylate)POSS PS-PMAPOSS

[148,150]

Poly(methyl methacrylate)-b-poly(methyl acrylate)POSS PMMA-PMAPOSS

[148,150]

Poly(styrene)-b- poly(ferrocenyldimethylsilane) PS-PFS

[160]

Poly(styrene)-b-poly(isoprene)-b-poly(ferrocenyldimethylsilane) PS-PI-PFS

[163]

Poly(2-vinylpyridine)-b-poly(dimethyl siloxane) P2VP-PDMS

[164]

poly(trimethylsilylstyrene)-b-poly(D,L-lactide) PTMSS-PLA

[165]

Poly(styrene)-b-poly(dimethylsiloxane) PS-PDMS

[166,167]

poly(D,L-lactide)-b- poly(dimethylsiloxane) PLA-PDMS

[168,169]

Poly(4-acetoxystyrene)-b-poly(4-(Bis(trimethylsilyl)methyl)styrene) PAcOSt-PSi2 St

[171]

Polystyrene-b-poly(dimethylsiloxane-r-vinylmethylsiloxane) PS-P(DMS-r-VMS)

[172]

1,2-polybutadiene-b-poly(dimethyl siloxane) 1,2-PB-PDMS

[213]

PS-PMAPOSS and PMMA-PMAPOSS thin films were exposed to O2 plasma. The top-view SEM images for the etched PS-PMAPOSS and PMMA-PMAPOSS films (Fig. 26f and g) clearly show welldefined vertically oriented lamellar and cylinder nanopores in a silica matrix giving etch- resistant masks in BCP lithography with small domain size (<10 nm). Hasegawa and coworkers further demonstrated that the DSA of POSS-containing BCP (PMMA-PMAPOSS) with feature density multiplication can be formed in a long-range ordered arrays of dots, giving areal densities of 4 Tbit/in.2 via solvent annealing [150]. The PMMA-PMAPOSS can be self-assembled into well-ordered PMMA spheres on chemically patterned substrate. Fig. 27a shows the schematic illustration of the whole DSA process for the selfassembly of PMMA-PMAPOSS. The chemically patterned substrate was patterned by e-beam lithography, and the lattice spacing of the lithographically defined hexagonal arrays of dots, dS , were set close to equal or twice the lattice spacing, d0 , of the hexagonal array formed by the PMMA-PMAPOSS. The chemical contrast of the substrate is composed of a hexagonal array of circular dots preferentially wetted by PMMA surrounded by a background matrix with higher affinity for PMAPOSS.

The degree of swelling of PMMA-PMAPOSS thin film using carbon disulfide (CS2 ) for solvent annealing was also optimized to give the polymer chain mobility to form the desired microdomain structure without any phase transformation. As a result, the DSA of PMMA-PMAPOSS thin film with 12 nm lattice spacing can be used to perform four times density multiplication of the chemical patterned under the optimized condition of solvent annealing (Fig. 27b). Also, the microdomain structures of the BCP thin films could tolerate several percent of mismatch between the lattice spacing of the BCP and that of the chemical patterns, demonstrating the potential application of the technique to form 10 nm scale features of other desired geometries for the POSS-containing BCPs. Recently, Cheng and coworkers introduced a unique class of selfassembled materials, “giant surfactants”, with precise molecular structures synthesized by “clicking” polar and compact molecular nanoparticles on flexible polymer tails of various composition and architecture at specific sites [151–153]. In terms of the achievable feature size, giant surfactants bridge the gap between smallmolecule surfactants and BCPs by possessing much larger sizes. It also demonstrates a duality of both small-molecule surfactants and BCPs in their self-assembled behavior. Also, the self-assembled

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

41

Fig. 27. (a) Schematic illustration of the DSA approach of PS-PMAPOSS using a chemically patterned template. (b) SEM and corresponding 2D-FFT images of the PMMA25 PMAPOSS13 film which was solvent-annealed at tDS = 140% on the patterned template with ds ≈ 2d0 , ds = 24 nm. (tDS is the thickness ratio of the reference sample in the swollen state to initial film). The patterned templates were prepared by grafting the PS-OH layer followed by e-beam lithography. [150], Copyright 2012. Reproduced with permission from the American Chemical Society.

giant molecule are remarkably sensitive to their primary chemical structures; with the controlled structural variations of these giant surfactants it is feasible to acquire various thermodynamically stable nanostructures with feature sizes of 10 nm or less, as dictated by the collective physical interactions and geometric constraints. One series of the giant surfactants is comprising polyhedral oligomeric silsesquioxane (POSS) derivatives with variable surface functionalities and tunable topologies. These silicon-containing giant surfactants can self-assemble into a variety of ordered phases similar to those found in flexible diblock copolymers. Fig. 28 illustrates the phase transitions and the corresponding SAXS profiles and bright-field TEM images of the linear series of PSm -(DPOSS)n bulk samples, and their molecular packing models at different volume fractions (fPOSS v ) [151]. The full phase sequence can be identified as S → HC → L → inverse DG → inverse HC with increasing fPOSS v from 0.10 to 0.74. Ordered states could not be observed for fPOSS v below ∼0.05 or above ∼0.77. Furthermore, the feasibility of using these giant surfactants to fabricate well-ordered thin-film samples has been demonstrated [152]. As shown in the TEM image of Fig. 29a, the thin film of DPOSS-PS35 after solvent annealing displays a line pattern. The dark lines with width of approximately 2.5 nm correspond to the DPOSS domains are anticipated to comprise two layers of DPOSS held head-to-head by collective hydrogen bonding. The grazing incidence small-angle X-ray scattering (GISAXS) pattern (Fig. 29a, Inset) indicates an HC structure in the thin-film state in with the cylinders preferentially oriented along the {10} plane, parallel to the film substrate (with spacing approximately 11.0 nm). Similarly, the APOSS-PS75 sample with a C structure in the bulk state shows a rectangular dot pattern (Fig. 29b) under TEM. These dark spherical dots are APOSS domains with a

diameter of approximately 4.0 nm. The GISAXS patterns (Fig. 29b) further reveals that the POSS spherical domains are packed in a face-centered orthorhombic (FCO) lattice. These results show that this class of materials provide a versatile platform for the fabrication of nanostructures of sub–10 nm features. The feature size of self-assembled POSS-containing giant molecules range from 3 to 10 nm, a perfect complement for self-assembled BCPs ranging from 10 to 50 nm. Owing to the easy process for smaller feature size and the lower edge roughness as compared to BCPs, the self-assembled patterns of giant molecules are appealing in the applications of nano-MEMS (microelectromechanical system) for IT industries. Utilizing the same concept for controlled orientation of BCP thin films, it might be feasible to exploit the controlled self-assembly of giant molecules to achieve miniaturization of transistor sizes and enhancement of on-chip density. 4.3. PFS-containing BCPs Polyferrocenylsilanes (PFSs) are the polymers containing Fe and Si in the polymer backbone, and represents classes of metalcontaining polymers. High molecular-weight PFSs were discovered in the early 1990 s [154]. This special class of polymers offers the great advantage of tunable physical properties by employing various substituents at the silicon center of the polymer backbone. Most common PFSs bear alkyl, alkoxy, aryloxy or amino groups. PFSs are often semicrystalline for symmetrically substituted but amorphous for asymmetrically substituted [155]. In addition, the presence of Fe in PFSs imparts distinct properties otherwise not found or difficult to acquire with other conventional organic polymers. Some of these properties include redox activity and photoconductivity, and can be used as a magnetic ceramic and catalytic center

42

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 28. The effect of composition on the self-assembled behavior of giant molecules. (a−f) Set of SAXS patterns. (g−l) Microtomed BF TEM images. (m−r) Deduced packing models of a series of selected linearly configured PSm -(DPOSS)n samples in a phase sequence of S → HC → L → inverse DG → inverse HC with increasing the fPOSS v value from 0.10 to 0.74. Below the fPOSS v of ∼0.05 and above the fPOSS v of ∼0.77, only disordered states are observed. The insets of BF TEM images are the corresponding diffraction patterns deduced from the fast Fourier transform of the images. The black bars on the fPOSS v circle represent all of our samples studied. [151], Copyright 2016. Reproduced with permission from the American Chemical Society.

Fig. 29. (a) TEM images and GISAXS patterns of (a) parallel cylindrical structure of DPOSS-PS35 thin film. The illustrated structures in the real space are shown as the insets in the respective images. The dark lines (2.5 nm line width) correspond to the DPOSS domains, which are expected to be composed of two DPOSS nanoparticles layers held head-to-head by collective hydrogen bonding. (b) FCO packing of a spherical structure of APOSS-PS75 . The zoom-in view of the TEM and an illustrated structure in the real space are given as the insets. The dots denote spherical features (diameter ∼ 4.0 nm) which are packed in a rectangular lattice [152], Copyright 2013. Reproduced with permission from the National Academy of Sciences.

[156–158]. Furthermore, the presence of iron in the main chain also means that PFSs possess a high resistance to reactive ion etching. On exposure to an oxygen plasma, PFS thin films will form an iron/silicon oxide layer at the surface of the film as an etch mask for nanolithographic applications [143]. Manners and coworkers first synthesized di- and multiblock copolymers from a combination of poly(ferrocenyldimethylsilane) (PFS), poly(styrene) (PS), and poly(dimethylsiloxane) (PDMS) [154]. However, the bulk morphologies of these copolymers as a function of composition have not been systematically investigated.

Thomas and coworkers extended this work by systematically studying the microphase-separated structures of PS-PFS copolymers as a function of their block composition and different blending ratio (blends of the PS-PFS BCPs with styrene or ferrocenylsilane homopolymers) [159]. The ␹ values of PS-PFS BCPs can be found elsewhere (Table 1) [160]. As shown in Fig. 30a–c, the representative TEM images of the PS-PFS copolymers and PS-PFS/PFS blends show various well-ordered nanostructures including lamellae, cylinder and even the network gyroid morphologies. They further summarized their TEM, SAXS, and rheological experiments on

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

43

Fig. 30. Bright-field TEM images of (a) PS-PFS 18/19 (47 vol% PF) displaying an alternating lamellar morphology, (b) PS-PFS 27/12 with 11% 6.5 hPF (34 vol% PF in blend) exhibiting hexagonally packed cylinders of PF in a PS matrix and (c) SF 9/19 with 6% 11.4 hPF (66 vol% PF in blend) showing the double-gyroid morphology. (d) Synthesis of PS-PFS by sequential anionic polymerization. (e) Phase diagram for SF diblock/homopolymer blends. NS + NF is the total number of monomers in the SF diblock. Order-order phase transitions are located at 0.22, 0.43, 0.65, 0.68, and 0.79 for BCC, HEX, LAM, DG, HEX, and BCC, respectively. [159], Copyright 1999. Reproduced with permission from John Wiley & Sons, Inc.

the neat diblock copolymers and diblock copolymer/hompolymer blends in a phase diagram. Solid symbols represent neat diblock whereas blends are given as open symbols. As shown in Fig. 30e, the phase diagram is asymmetric with respect to PF vol% (50%). When the PF is above 50%, the order–order transitions is almost a straight vertical lines in the phase diagram. By contrast, the order-disorder transition line bends towards the middle of the phase diagram once the PF volume fraction is below 50%. The order–order transitions in the intermediate strong segregation regime for C, HC, L, DG, HC, and C are located at PF volume fractions of approximately 0.22, 0.43, 0.65, 0.68, and 0.79, respectively. The phase diagrams are a particularly valuable tool for navigating the immense variety of possible nanostructures of the PS-PFS. A variety of PFS-containing BCPs with different constituted blocks or different architectures synthesized give different self-assembled morphologies in the bulk and thin-film states. As interest in nanolithography grows, much efficient ways for the fabrication of nanotemplates are required. An emerging method involves films of microphase-separated diblock copolymers. Ross and coworkers demonstrated that direct transfer of the pattern to underlying layers is possible by using a PS-PFS thin film as a mask [124]. First, an oxygen reactive ion etching (RIE) was conducted. After the RIE process, the PFS domains are partly oxidized, and PS, more sensitive to oxidation is removed. The oxidized PFS sphere can be used as a lithographic mask to permit the CF3 H etch of an underlying silica layer. Further RIE with CF4 and O2 followed by ashing allows the pattern etching of tungsten. Finally, an ion beam etching was employed to transfer the pattern into the cobalt layer. Since silicon has high etch resistance, high aspect ratio nanostructures can be fabricated compared to the conventional PS-PMMA type of BCPs, which is important for the efficient pattern transfer to metals, and to realize 3D nanostructures. Fig. 31a–f summarizes the materials, procedures and intermediate nanostructures for this process. The process allows high density arrays of dots to be fabricated at which the magnetic particle density illustrated here is 30 G particles cm−2 (Fig. 31 g and h). The process can pattern large areas, such as 10 cm diameter wafers. The process can be applied very generally to pattern a variety of thin- film materials into dot arrays. In addition, particle sizes and spacing can be controlled through the choice of the block copolymer template and etching conditions.

Ross and coworkers also examined the effect of topographic patterns of the substrates on the morphology and the packing of PS-PFS [124]. The distortion of the spherical morphology and the transition of packing lattice type of PFS spherical domains in a PS matrix was observed within step-defined and V-shaped nanochannels, respectively [161]. Fig. 32a shows a plan- view SEM image of the monolayer ordered PFS domains. Compared with the packing of block copolymer domains on a flat substrate, very few defects can be formed. The number of rows N in the arrays is determined by the confinement width W given by the physical groove width minus the thickness of the two PFS-PS brush layers that form at the surface of the silica. Perfect arrays at least 20p (with p the average centerto-center spacing) long with N rows of polymer can be formed for a confinement width W where (N – 0.5)d < W < (N + 0.5)d as shown in Fig. 32b. When the groove width is incommensurate with the ideal period d, the periodicity of the BCP domains is compressed or expanded to fit within the groove. In V-shaped grooves however, the trough shape promotes the formation of a well-ordered face-centered cubic (fcc) close-packed sphere array rather than the body centered cubic (bcc) packing found in the equilibrium bulk state. Unlike the hexagonal symmetry seen in monolayers of spherical domains, the top surface shows a square symmetry (Fig. 32c) where the (111) planes of the lattice are now parallel to the groove walls (Fig. 32d). It is anticipated that these novel substrates determine manipulations of the BCP morphology and the corresponding lattice will be useful as novel routes to fabricate new features and geometries for BCP lithography. Manners and coworkers further demonstrated that squaresymmetry patterns can be formed by the self-assembly of a PS-PI-PFS triblock terpolymer thin film templated by topographical substrate features [162,163]. The chemical structure of the BCP and the ␹ value can be found in Table 1. Fig. 33a shows the illustration and Fig. 33b is the TEM image for the bulk morphology of PS-PIPFS. Without staining, there is very little contrast between PI and PS domains, and thus the dark dots in the TEM image represents the square packed PFS cylindrical microdomain. The orientation of both the square out-of-plane cylindrical lattice and the in-plane cylindrical arrays can be well controlled by substrate modifications by means of either topographic or chemical modifications, enabling the possibility of making complex patterns. For templates with-

44

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 31. (a) Schematic illustrations of (a) PS-PFS (PFS spheres) thin film on Si substrate with Co, W and SiOx layers, (b) O2 RIE treatment of PS-PFS, (c) CHF3 RIE of SiOx layer, (d) CF4 and O2 RIE of PS-PFS to derive lithographic mask and W layer, (e) ashing to eliminate all material above W, and (f) etching by ion beam to create cobalt dots with W caps. (g) The SEM results of the silica pillars with polymer caps as illustrated in Fig. 31c. (h) The SEM results of the Co dot with W caps as illustrated in Fig. 31f. [124], Copyright 2001. Reproduced with permission from John Wiley & Sons, Inc.

Fig. 32. The PS-PFS thin films in parallel-sided grooves. (a) SEM images showing the ordered arrays of PFS spherical domains with N = 2 to 12 rows. (b) The plot of number of rows in the groove (N) against confinement width (d) showing that the widths at which arrays with N rows are stable. The open circles represent the accessible states if a small energy fluctuation of 0.01kT per chain is available to the system. [112], Copyright 2004. Reproduced with permission from Macmillan Publishers Limited. SEM images of PS-PFS films in V shaped grooves after annealing of 72 h followed by etching. (c) Square packing of PFS spherical domains is visible in the top-view; (d) the corresponding cross-sectional view of the system. [161], Copyright 2006. Reproduced with permission from the American Chemical Society.

out any chemical modification, square arrays of PFS cylinders were formed with the axis primarily at 90◦ to the walls of the trench (Fig. 33c). However, the PFS microdomain arrays orient primarily at 45◦ to the trench wall once the templates are modified with a PS-brush layer (Fig. 33d). The differences in the orientation of the microdomain arrays can be rationalized by considering the preferential wetting of the blocks to the substrate, as schematically illustrated in Fig. 33e [163]. They also demonstrated the templating of well-ordered square patterns with topographically guiding wall. Topographical ridge patterns with a rectangular layout were prepared by electron-beam patterning of a negative-tone inorganic hydrogen silsesquioxane (HSQ) resist (Fig. 33f). In order to improve the ordering of the self-assembled thin-film, the wall was grafted

with different brush layers on the vertical and horizontal surfaces to form a heterogeneous surface. As a result, the triblock terpolymer/PS homopolymer blend be self-assembled into highly ordered and aligned square symmetry dot arrays in micrometer-size rectangular cages (1.5 and 2 ␮m × 3 ␮m) (Fig. 33g) [163]. 4.4. High ␹ silicon-containing BCPs For the purpose of generating sub–10 nm nanopatterns, a high ␹-low N block polymer is required. Consequently, research also focused on the syntheses of silicon-containing BCPs with extremely high ␹ value for sub–10 nm BCP lithography [127]. For example, Ross and coworkers reported a significantly higher degree

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

45

Fig. 33. PI-PS-PFS Triblock copolymer bulk and thin film morphologies: (a) schematic illustration of the bulk morphology with PFS (red) and PI (light gray) parallel cylinders in PS matrix, (b) Bright field TEM image of the bulk PI-PS-PFS. SEM images of the DSA morphologies of the PI-PS-PFS/PS blends (c) without and (d) with PS-brush modified trenches after annealing in CHCl3 vapor for 2.5 h at room temperature followed by oxygen RIE etching to remove the PI and PS domains. (e) Schematic illustration of packing options for the microdomains in a PS-brush modified trench. Highly ordered square arrays were obtained in an HSQ template. (f) Schematic illustration of a square array of the microdomain of triblock copolymer films within a topographically patterned template for the sidewalls coated with preferential PFS brush and the bottom of the substrate modified with non-preferential PEO brush. (g) SEM images of highly ordered square arrays of oxidized PFS microdomains. [163], Copyright 2011. Reproduced with permission from the American Chemical Society. (For interpretation of the references to colour in this figure legend, the reader is referred to the web version of this article.)

Fig. 34. Highly tunable self-assembled patterns of P2VP-PDMS. SEM images shows morphological transitions between spheres, cylinders, hexagonally perforated lamellae (HPL), and lamellae, induced by using different conditions for solvent annealing. Also, the variation in the line width of in-plane cylinders could change from 6 to 31 nm [164], Copyright 2011. Reproduced with permission from the American Chemical Society.

of tenability of pattern geometry and dimensions using a poly(2vinylpyridine)-b-poly(dimethyl siloxane) (P2VP-PDMS) BCP with an extremely large ␹ parameter (Table 1) [164]. The ␹ parameter of P2VP-PDMS should be at least a few times larger than that of PS-PDMS. The morphological transitions between S, HC, hexagonally perforated lamellae (HPL), and L, can be induced by choosing different solvent vapors, affecting the degree of swelling of the film. Also, the variation in the line width of in-plane cylinders could change from 6 to 31 nm (417% variation) (Fig. 34). It provides a convenient method to obtain a variety of morphologies

from a single block copolymer. Also, the edge roughness and the pattern quality of the P2VP-PDMS can be significantly improved. Meanwhile, they also expected that even sub-5-nm patterns could be obtained from a P2VP-PDMS with a smaller molecular weight. Willson and coworkers also reported the synthesis and self-assembling characteristics of a new high ␹ block copolymer poly(trimethylsilylstyrene)-b-poly(D,L-lactide) (PTMSS-PLA) [165]. The chemical structure and the ␹ value of the BCP can be found in Table 1. The PTMSS-PLA was synthesized through a combination of anionic and ring-opening polymerization as shown

46

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 35. (a) Synthesis of PTMSS-PLA by a combination of anionic and ring-opening polymerization reactions. (b) Temperature dependence of ␹ in the form ␹ = A/T + B for PTMSS-PLA, PS-PDMS, PS-PLA, PS-PEO and PS-PMMA. (c) AFM phase images of a 15 nm thick PTMSS-PLA thin-film after solvent annealing the sample in cyclohexane vapor for 4 h, and (d) SEM image of (c). [165], Copyright 2012. Reproduced with permission from the American Chemical Society.

in Fig. 35a. Several lamellar and cylinder forming diblocks were synthesized Small domains are possible to acquire form the selfassembly of the PTMSS-PLA because this new material has a large segment–segment interaction parameter, an order of magnitude higher than polystyrene-b-poly(methyl methacrylate) and twice as large as polystyrene-b-polydimethylsiloxane [166,167], two commonly studied polymers for lithographic applications (Fig. 35b). The chemical structure and the ␹ value of the PS-PDMS can be found in Table 1. The self-assembling behavior of the PTMSS-PLA in the thin-film state was also examined by spin-coating thin films on silicon wafers (with ∼ 2 nm native oxide layer), followed by solvent annealing. After 4 h solvent annealing in saturated cyclohexane vapor at room temperature, the AFM phase image and SEM of the cylinderforming PTMSS-PLA showed a hexagonally packed dot structures, consistent with vertically oriented cylinders (Fig. 35c and d). These results show that the PTMSS-PLA has wide ranging potential applications, including (but not limited to) next-generation lithography due to its ability to form sub–10 nm features and the potential for removal of the PLA domain through both dry- and wet-etch process. Meijer and coworkers also synthesized a new silicon-containing BCP system, polydimethylsiloxane-b-poly(D,L-lactide) (PDMSPLA) [168]. The chemical structure and the ␹ value of the BCPs of interest here are given in Table 1. Because of the extremely large Flory-Huggins interaction parameter between the constituted blocks [169,170], microdomains with sizes ∼10 nm are easily acquired using low molar weight PDMS-PLA for self-assembly. With simply adjusting the block composition, different morphologies can be also accessible including dot patterns (from spheres) and line patterns (from parallel cylinders) (Fig. 36). The strong incompatibility also facilitates retention of order at relatively low molar mass even with high degrees of compositional asymmetry (e.g., f L ∼ 0.15). PDMS-PLA samples with different compositions can self-assemble into well-ordered nanopatterns on various substrates after thermal annealing. This BCP was synthesized with inexpensive materials and facile synthesis routes. All of the samples were prepared on a > 10 g scale; the required processing conditions are highly compatible with the current infrastructure in the microelectronics industry, demonstrating a strong potential of commercialization of the examined system. They have also indicated that the PDMS-PLA BCP was a conceptually simple, easily accessible system with potential to be used for scalable BCP lithography.

4.5. Other silicon-containing BCPs As mentioned in the previous sections, different kinds of siliconcontaining BCPs was introduced based on the chemical structure of the silicon-containing block such as POSS-containing, PFScontaining, PDMS-containing and high ␹ BCPs. However, there are still some silicon-containing BCPs that cannot be categorized into these types. Consequently, in the following sections, we will briefly introduce some studies with different silicon-containing BCP as exemplary cases that do not belong to these types. For example, Yang and coworkers reported the synthesis of a series of BCPs from silicon-containing styrenic monomers and styrene or 4-acetoxystyrene (AcOSt) using living free radical polymerization via an ␣-hydride nitroxide-mediated unimer (Table 1). [171] Block copolymer morphologies before and after O2 plasma was studied using SAXS and TEM. As shown in Fig. 37a, the SAXS profiles suggest that these block copolymers form disordered, cylinder and lamellae structures depending on their volume ratios between two blocks and their molecular weights. Furthermore, they found that when silicon-containing block formed the major phase and silicon concentration was greater than 12 wt%, the morphology and domain size were preserved after O2 plasma (Fig. 37b and c). Recently, Laus and coworkers also reported that the self-assembly of cylinder-forming polystyrene-b-poly(dimethylsiloxane-randomvinylmethylsiloxane) (PS-P(DMS-r- VMS)) on flat substrates can be achieved by a simple thermal treatment at high temperatures (Table 1) [172]. Homogeneous PS-P(DMS-r-VMS) thin films covering the entire sample surface can be obtained without any problems for dewetting. The BCP arranges in a single layer of parallel cylindrical nanostructures to the substrate. By properly controlling the parameters for surface modification, annealing temperature and time and heating rate, it is feasible to obtain a correlation length larger than 1 ␮m on a time scale fully compatible with the stringent requirements of the microelectronic industry (Fig. 38a). The uniformly-oriented parallel cylinder arrays were observed in the top-view SEM image shown in Fig. 38b, and further confirmed by the assistance of the software, as shown in Fig. 38c.

5. PDMS-Containing BCPs The family of PDMS-containing BCPs is the most extensively studied BCP system for lithographic applications. Herein, we focus

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

47

Fig. 36. Thin films of polydimethylsiloxane-b-poly(D,L-lactide) (DL) diblock copolymers. Different morphologies of PDMS-PLA are easily accessible by judiciously adjusting the block composition, garnering dot patterns (from spheres) and line patterns (from parallel cylinders) in a facile manner. For all of the samples the domains sizes are nearly ∼10 nm [168], Copyright 2013. Reproduced with permission from the American Chemical Society.

Fig. 37. (a) SAXS results of silicon-containing block copolymers. Transmission electron micrograph (TEM) images of PAcOSt-PSi2 St (21/79) (b) before and (c) after O2 plasma for 10 min [171], Copyright 2005. Reproduced with permission from the American Chemical Society.

on the studies of PDMS-containing BCPs, using this system as an exemplary case to introduce the development of silicon-containing BCPs for nanopatterning. To examine the self-assembling behavior of the PDMS-containing BCPs, this section will begin with the studies of the phase behavior of BCP/solvent mixtures using different selective solvents. The subsequent description will focus on the self-assembly of PDMS-containing BCPs in the thin-film state, in particular for systems with different architectures, such as star-block copolymers, for nanopatterning. Subsequently, different techniques to overcome the low-surface energy problem of the PDMS will be discussed. In the end, the orientation control of well-ordered PDMS-containing BCP thin films by DSA methods for various applications is presented. 5.1. Phase behavior of PDMS-containing BCPs Usually, BCP samples for nanopatterning are processed by dissolving the polymer samples into organic solvents followed, by different casting methods. During the casting process, the nature of the solvent influences the degree of the swelling of polymer chains in each domain, and hence results in an effective volume fraction of each domain. Even a slight degree of solvent selectivity can lead to a preferential swelling of one microdomain, as reported

by Lodge and coworkers [173]. Consequently, the BCP samples prepared from solution casting method often present non-equilibrium natures, resulting from the kinetic paths of solvent evaporation. Accordingly, the microphase-separated structures of as-cast films would be highly dependent upon the solvents used in the casting process and corresponding evaporation process as well. The structures are often found to be considerably different from those predicted by the equilibrium theory. To reveal the effect of solvent selectivity on the phase behavior of solution-cast PDMS-containing BCPs, a commonly used BCP, polystyrene-b-polydimethylsiloxane (PS-PDMS), has been examined to systematically study the phase behavior of PDMS-containing BCP/solvent mixtures by varying the selectivity of solvent for a lamella-forming PS-PDMS [174]. At first, PS-PDMS phase behavior was studied in a neutral solvent such as cyclohexane. As observed from the TEM image and corresponding 1D SAXS profile (Fig. 39a and b), a lamellar phase is formed for the self-assembled PS-PDMS after casting. In contrast to the use of a neutral solvent, differng solvent selectivity for the BCP components will determine the resultant self-assembled morphology. For a solvent (toluene) weakly selective for PS over PDMS, a tripodlike texture ([111]) projection of a double gyroid phase has been observed for solution-cast sample (Fig. 39c). The corresponding 1D SAXS profile (Fig. 39d) further confirms the formation of double

48

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 38. Self-assembly of cylinder-forming (PS-P(DMS-r-VMS)) BCP on flat substrates is promoted by means of a simple thermal treatment at high temperatures. (a) Evolution of the correlation length as a function of ta in PS-P(DMS-r-VMS) thin films thermally treated in RTP at Ta = 310 ◦ C. (b) High-magnification SEM plan view images of the PS-P(DMS-r-VMS) thin films annealed at Ta = 310 ◦ C for 300 s and (c) color maps obtained by software analysis of the corresponding low- magnification SEM images. [172], Copyright 2016. Reproduced with permission from the American Chemical Society.

gyroid phase, as from√the reflection peaks at the rela√ √ evidenced √ √ √ tive q values of 6: 8: 16: 22: 40: 50. To further examine the solvent selectivity effect on the cast morphology of the PS-PDMS, a moderately selective solvent (chlorobenzene) for PS over PDMS was used. The resultant morphology of the cast sample was identified as a hexagonally packed cylinder phase, as observed in TEM

(Fig. 39e) and further identified by SAXS (Fig. 39f). Also, randomly distributed PDMS spheres in the PS matrix can be created by using 1,2-dichloroethane as a solvent for casting (Fig. 39g and h). To further study the variety of obtainable self-assembled morphologies from solution casting of PS-PDMS, solvents selective for PDMS over PS were also employed for casting. Note that, when the solvent is selective to the minor component (PDMS), it is possible to form “inverted” phases in which the minor PDMS block will form the matrix phase. The solution-cast morphology of the PS-PDMS from methylcyclohexane solution shows an inverted hexagonally packed cylinder phase (Fig. 39i and j). Further increasing the selectivity of solvent for the PDMS, the TEM image of the solution-cast morphology by using hexane as solvent exhibits randomly distributed PS spheres in the matrix of PDMS (Fig. 39k). The corresponding SAXS result further evidences the suggested inverted sphere phase (Fig. 39l). Consequently, a range of morphologies including lamellae, double gyroid, hexagonally packed cylinders, randomly distributed spheres, and two inverted phases can simply be obtained by solution-casting method using solvents with different selectivity. Note that the as-cast self-assembled morphologies are in general highly dependent upon the evaporation rate of the employed solvent. To study the effect of evaporation rate on the selfassembled morphology of PS-PDMS, toluene (a weakly selective solvent for PS) was used as a representative solvent for solution casting at room temperature. The solution casting was carried out by slow evaporation due to the low vapor pressure of the toluene (22 mm Hg at RT). As discussed above, the morphology of toluene-cast PS-PDMS sample was identified as a well-ordered double gyroid phase (Fig. 39c and d). A similar morphology can be observed for the toluene-cast PS-PDMS sample even under fast evaporation of toluene. The results of TEM (Fig. 40a) image and corresponding 1D SAXS profile (Fig. 40b) of the as-cast PS-PDMS sample using toluene as solvent under fast evaporation conditions clearly show the formation of double gyroid phase. Similar results

Fig. 39. TEM micrographs and SAXS profiles of PS-PDMS bulk sample casting from (a, b) cyclohexane, (c, d) toluene, (e, f) chlorobenzene, (g, h) 1,2- dichloroethane, (i, j) methylcyclohexane and (k, l) hexane solution. [174], Copyright 2013. Reproduced with permission from the American Chemical Society.

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 40. (a) TEM image of toluene solution-cast PS-PDMS bulk sample through fast evaporation of solvent; (b) corresponding 1D SAXS profile. [174], Copyright 2013. Reproduced with permission from the American Chemical Society.

can also be observed in the BCP mixtures with the solvents of high vapor pressure. In comparison with the long required time (approximately one week) to obtain well-ordered self-assembled structure by solution casting under ambient conditions, the fast evaporation by casting under vacuum condition will dramatically reduce the time to less than two hours. Alternatively, the double gyroid phase for PS-PDMS sample can be acquired by solution casting using toluene as solvent with either slow or fast evaporation. Similar results have been observed with different solvents for solution casting in which the resultant morphology is not dependent upon the rate of solvent evaporation; namely, the effect of rate of evaporation rate is not critical on the solution-cast morphologies of PS-PDMS. Considering that the effect of solvent evaporation rate on the forming morphology from casting is not critical, the effect of solvent selectivity plays a crucial role on the phase behavior of the PS-PDMS/solvent mixtures and in the final self-assembled morphologies after casting. To further examine the phase behavior of the PS-PDMS in solution, the PS-PDMS solution has been pre-

49

pared in various solvents and studied using temperature-resolved SAXS. A series of PS-PDMS phase diagram in various solvents with different selectivity were plotted as temperature against polymer solution concentration. This approach is similar to the examination of PS-PI phase behavior in the solution state by Lodge and coworkers. [46] The phase behavior of the PS-PDMS in toluene (a solvent weakly selective for PS over PDMS), 1,2,3,4-tetrahydronaphthalene (a solvent moderately selective for PS over PDMS) and methylcyclohexane (a solvent moderately selective for PDMS over PS) were therefore examined and summarized. [174] As shown in Fig. 41a, the PS-PDMS toluene solution start from a disorder phase; with increasing the PS-PDMS composition, an ordered phase followed by the well-ordered gyroid phase can be found, and eventually the gyroid phase would be vitrified at the glassy region (the light blue region near 40 wt%) as a metastable phase (that is the solution-cast morphology after complete solvent evaporation). Also, it is noted that an order–order transition (OOT) and an order-disorder transition (ODT) can be found by heating a PS-PDMS/toluene solution. For the sample containing high concentration toluene (27.5 and 30 wt%), there is OOT occurring at approximately 60 ◦ C; the gyroid phase will transform into a lamellar phase. The solvent selectivity towards the constituting blocks of PS-PDMS would be significantly reduced upon heating, and the solvent selectivity becomes almost neutral while the temperature is above 60 ◦ C. As discussed above, the neutral solvent will give the formation of stable lamellar phase. With further increasing in temperature to 100 ◦ C, it becomes disordered phase, as evidenced from observed broad peak in the 1D SAXS profile. When 1,2,3,4-tetrahydronaphthalene was employed as a solvent for PS-PDMS, an entirely different phase diagram to that in toluene was obtained (Fig. 41b). The phase evolution of the PS-PDMS/1,2,3,4-tetrahydronaphthalene solution starts from a sphere phase followed by an ordered cylinder phase at relatively higher composition. With further increasing composition, the cylinder phase would be vitrified at the glassy region as a metastable phase. Since the selectivity of the solvent decreases

Fig. 41. Phase behavior of PS-PDMS in solvents with different selectivity as a function of temperature (T) and composition () in (a) toluene; (b) 1,2,3,4-tetrahydronaphthalene; (c) methylcyclohexane solution. The representing symbols and phases for each are listed at the lower right corner. [174], Copyright 2013. Reproduced with permission from the American Chemical Society.

50

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

upon heating, at higher temperatures, the sphere phase (20 wt%, RT) and cylinder phase (30 wt%, RT) would be transformed into cylinder phase (20 wt%, 40 ◦ C) and lamellar phase (30 wt%, 80 ◦ C), respectively. When a solvent selective for PDMS over PS (methylcyclohexane) was used, the phase diagram was found to be composed of the “inverted” phases (Fig. 41c). The PS-PDMS solution in methylcyclohexane initially start from a disordered phase (10 wt%) followed by the inverted cylinder phase at higher composition (17.5 wt%). The inverted cylinder phases would be vitrified at the glassy region as a metastable phase by further increasing the composition. Also, an OOT can be observed by heating the sample solution. The solution-cast morphologies from various solutions of different solvent selectivity at ambient condition are identical to the phase morphology next to the glassy region for each solvent. The strong segregation of PS-PDMS will contribute to a clear-cut phase transition phenomena during solvent evaporation. Accordingly, by solution-casting technique, a range of morphologies can be acquired under various experimental conditions, giving a feasible route to acquire various nanostructures from one singlecomponent PS-PDMS. Owing to the strong segregation of the PS-PDMS, different metastable phases (e.g. cylinder and double gyroid phases) can be acquired from casting of a lamella-forming PS-PDMS using PS selective solvents. Note that post-thermal annealing is usually conducted for the solution-cast BCP samples in practical applications. Accordingly, the recovery of metastable phases to thermodynamically stable phase would be critical to the end uses. To visualize the phase transitions due to thermal annealing is thus essential for the control of morphological evolution during processing. A methodology to examine the order–order phase transitions was therefore developed by using the metastable phase of lamella-forming PSPDMS from casting for thermal annealing; the corresponding phase transitions can be examined by scattering experiments due to its large electron density contrast and also by electron microscopy imaging due to its large mass-thickness contrast. Time-resolved small-angle X-ray scattering (SAXS) experiments was therefore carried out to reveal the variation of the structural evolution in reciprocal space during transitions. Meanwhile, electron tomography was used to directly observe the transition zones in real space [175]. As shown in Fig. 42a, dark tripod-like PDMS microdomains in the bright PS matrix can be observed ([111] projection of a double gyroid) in the as-cast sample from toluene solution. After thermal annealing at 180 ◦ C for one minute, the images of tripod-like and stripe-like textures can both be found, suggesting the coexistence of double gyroid and lamellar phases (Fig. 42b). A complete phase transition from double gyroid to lamellae can be obtained by further annealing (Fig. 42c). This phase transformation was further supported from the results of time-resolved SAXS experiments (Fig. 42d). As virtualized by the reconstructed 3D images (Fig. 42f-h) for the transition grain, mesh-like texture is truly a 3D network structure and composed of a multiple-layer latticelike texture [175]. The growth directions of these double gyroid phase to the lamellae are independent for each layer of lamella. The [10] lamellar direction of the lattice-like phase will follow the direction of one of the arms of the double gyroid tripod. Eventually, these two-layer lamellar structures will merge into a unidirectional orientation with the decrease of including angle. 5.2. PDMS-containing BCP thin films Silicon-containing BCPs are most attractive for lithography due to their small feature dimension and high etching contrast. However, the surface tension of PDMS (␥PDMS = 19.9 mN/m at room temperature) is significantly lower than other polymers [176,177]. Once the PDMS-containing BCP are cast into the thin-film state, the

Fig. 42. TEM images of PS-PDMS casted from chlorobenzene solution: as-cast sample (a) without thermal annealing, (b) with thermally annealing at 160 ◦ C for 1 min, and (c) with thermally annealing at 160 ◦ C for 5 min; (d) Time-resolved 1D SAXS profiles; (e) Enlarged plot near the primary peak position of (10)L . (f) Visualization of the transitional phase boundary between double gyroid and lamellae by 3D TEM; (g) Enlarged image of red dashed box area in (f). [175], Copyright 2013. Reproduced with permission from the American Chemical Society. (For interpretation of the references to colour in this figure legend, the reader is referred to the web version of this article.)

PDMS block will preferentially segregates at the air/polymer interface [178]. The favorable enthalpic interactions of the PDMS block would result in the parallel orientation of the microdomains. Consequently, it is notoriously difficult to orient PDMScontaining BCPs nanostructures normal to the thin-film substrate. Ross and coworkers showed that, even for a monolayer of PS-PDMS thin film, a PDMS wetting layer will be formed at the air-film interface (Fig. 43a) [121]. They also pointed out that the O2 RIE treatment alone fails for the complete removal of the thin wetting layer of PDMS (Fig. 43b), and thus a short CF4 plasma treatment was suggested before O2 plasma processing. As shown in Fig. 43c, a welldefined in-plane cylinder patterns of PS-PDMS monolayer can be obtained after removal of the PDMS wetting layer by the suggested method. Son and coworkers demonstrated that high-aspect ratio perpendicular arrays of sub–10 nm diameter microdomains can be produced in PS-PDMS films using partially hydrolyzed poly(vinyl alcohol) (PVA) top coat with a solvent annealing process (Fig. 44a) [179]. The PVA top coats not only efficiently reduce the interfacial energy between PS and PDMS at the top surface, but also control the through-thickness solvent concentration gradient and the solvent evaporation rate. Despite using the solvent concentration gradient to induce perpendicular microdomains, there are couple layers of parallel-oriented structure on the thin-film surface (Fig. 44b and c). On the contrary, as the SEM images shown in Fig. 44d–f, well-

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 43. (a) Schematic cross-section diagram of a film of PS-PDMS on a PDMS brushtreated silica surface. A layer of PDMS is present at the air-film interface, and below it a layer of parallel cylinders. (b, c) SEM images of PS-PDMS thin film after (b) exposure to an O2 plasma for 1 min; (c) exposure to a CF4 plasma (5 s) followed by an O2 plasma (1 min). [121], Copyright 2007. Reproduced with permission from the American Chemical Society.

oriented perpendicular cylinders can be fabricated span-thru the thin film by the combination of the PVA top-coat and the solvent annealing process. Differently hydrolyzed PVA films can be used as effective top coats for the self-assembly of PS-PDMS because the interfacial energy can be controlled easily according to the degree of hydrolysis; note that the PVA films can be removed in water. Also, as

51

found, the thickness of the BCP film and top-coat are critical factors that affect the orientation of the BCP thin film. As the films become thinner, the effects of the interfaces on the microdomain orientation become proportionately much more important due to the commensuration effect. Using this approach, sub–10 nm period high-aspect-ratio patterns can be obtained from a high ␹ block copolymer, which is useful in next generation nanolithography or as nanoporous membranes. Besides separating the thin film fabrication process and protecting layer deposition into two steps, Hustad and coworkers designed a polymeric surface active additive mixed into the BCP solution, referred to as an embedded neutral layer (ENL), which segregates to the top of the BCP film during casting and during the annealing process, the ENL polymer would flow to the topmost of the thin film; and balances the surface tensions at the top of the thin film [180]. This combines the two processes into one simple step by mixing the top-coated material into the BCP while spin coating and simply removing the top-coat layer by RIE. The polymeric additive is essentially a second BCP designed with neutral block that could provide matched surface tension with respect to the main BCP, and the low surface energy of the polymeric additive drives the material to air-film interface during spin-coating and subsequent annealing (Fig. 45a). To achieve the full well-oriented perpendicular structure, the weight percentage of the ENL material plays a critical parameter during the whole process to block the contact of polymer with the air via the ENL material (Fig. 45b–d). This approach provides a novel method to fabricate well-oriented perpendicular structure through thermal annealing that is compatible with current wafer processing capability, and thus provides a new perspective for the surface tension neutralization for high-␹ BCPs. Nanostructure fabrication technologies have been sought to a low cost approach with high resolution and high throughput due to the higher and higher cost in photolithographic facilities. As a result, it is critical to simultaneously achieve the critical requirements of orientation control, high pattern resolution, low cost and manufacturing compatibility for BCP lithography. Hayakawa and coworkers described a new design for modified polysiloxane-based BCPs to build sub–10 nm wide lines due to their capability to form perpendicularly oriented lamellae in thin films on the substrate neutralized by poly(methyl methacrylate)-

Fig. 44. (a) Schematic illustration of the solvent-annealing process of BCP thin films with a top-coat layer to produce a perpendicular orientation of microdomains. (b–h) SEM images of differently treated PS-PDMS films after O2 plasma treatment for image contrast. (b) Top-view and (c) cross-sectional view images of solvent annealed 300 nm thick films without a top coat using an acetone vapor (vapor pressure/Saturated vapor pressure P/Ps ≈ 0.95) for 30 min. (d) Top-view and (e) cross-sectional view image of 70 nm thick BCP films with a 40% hydrolyzed PVA top coat after solvent annealing. (f) BCP films with a 700 nm thickness with top-coat and solvent annealing. The thickness of the top-coat was 200 nm [179], Copyright 2014. Reproduced with permission from John Wiley & Sons, Inc.

52

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 45. (a) Schematic illustration of the ENL self-assembling process. Gas cluster ion beams-X-ray photoelectron spectroscopy (GCIB-XPS) and SEM data evidence the effectiveness of the ENL to stabilize a perpendicular orientation of PS-P2VP along with representative cartoons of the observed morphologies. (b) When no ENL is added, the results of XPS and the SEM image suggest the formation of island/hole morphology. (c) The film with 10 wt% ENL gives enrichment of F at the surface but some island/hole morphologies remain. (d) At 20 wt% ENL, the film gives complete perpendicular alignment, as evidenced by the enrichment of F at the top surface, constant C and N contents through the remainder of the film and the fingerprint morphology in the SEM micrograph. [180], Copyright 2016. Reproduced with permission from the American Chemical Society.

Fig. 46. (a) Synthesis scheme for polystyrene-b-poly(methyl vinyl siloxane) PS-PMVS and vinyl-group-modified BCPs. AFM phase images of a 32-nm-thick (=2·0L0 ) polystyrene-b-poly(hexanol siloxane)PS100 -PMHxOHS25 film (b) before annealing, (c) after annealing at 130 ◦ C for 1 min, (d) after annealing at 140 ◦ C for 1 min, and (e) after annealing at 150 ◦ C for 1 min on PMMA-r-PMA film. [181], Copyright 2016. Reproduced with permission from Macmillan Publishers Limited, part of Springer Nature.

r-poly(methacrylic acid) (PMMA-r-PMA) [181]. By using PS-PDMS as a basic BCP and methyl vinyl siloxane as the precursor of the modified PDMS block, the vinyl group can be introduced to functional side chain by the ene-thiol reaction or hydrosilylation, and can further reduce the surface energy difference between PS and PDMS (Fig. 46a). In addition, the glass transition temperature of the siloxane main chain is very low. Therefore, a short time and low-temperature thermal annealing condition are expected to promote the self-assembly of the BCP because of the high mobility of the BCP (polystyrene-bpoly(hexanol siloxane, PS100 -PMHxOHS25 ; Mn = 14500 g/mol, wt% of PS = 65%). The morphology shifts from disorder to lamella after replacing the side chain group from vinyl group to longer alkyl chain with hydroxyl end group. These results reveal that the side-chain structure affects the microphase separation. With short time and different thermal annealing temperature, perpendicular lamellae would be formed. (Fig. 46b–e) This new type of polymer design

could be expanded to achieve smaller-feature formation and orientation control simultaneously, which is very useful for industrial nanofabrication and attractive to basic polymer science for academic study. Although it’s possible to use different polymer architectures to suppress the enthalpic interactions from the substrate, the air/polymer interface remains a big challenge while encountering large difference in surface energy between constituted block such as the case for PS-PDMS, giving the formation of parallel orientation resulting from the wetting layer problem as it occurs for the PDMS. Block architecture would likely play an increasingly important role in BCP thin films. While most BCP thin-film research to date has focused on AB diblocks and ABA triblocks, there is effectively no limit to the possible number of blocks. Even ABA triblocks (Fig. 47c and d) and their homologous diblocks (Fig. 47a and b) exhibit potentially significant differences [182,183].

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

53

Fig. 47. Poly(ethylene)-b-poly(vinyl cyclohexane) (CE22.48.10 ) annealed at 220 ◦ C for 3 days: (a) Tapping mode AFM phase image; (b) cross-sectional TEM image. poly(ethylene)b-poly(vinyl cyclohexane)-b-poly(ethylene) (CEC45.48.10 ) annealed at 220 ◦ C for 3 days: (c) Tapping-mode AFM phase image; (d) cross-sectional TEM image. [184], Copyright 2006. Reproduced with permission from the American Chemical Society.

Kramer and coworkers first demonstrated that the effect of chain architecture and surface energy, ␥, on the orientation of microdomains in relatively thick films (∼600 nm) of the BCPs of poly(vinyl cyclohexane) (PVC) and poly(ethylene) (PE) [184]. Lamellar and cylindrical triblock copolymers can be spontaneously aligned perpendicularly if the surface energy difference (␥) between two constituted blocks is small and the lower surface energy block is designed as the midblock due to the entropic penalty associated with the parallel orientation, which is not readily compensated by the low ␥. In the case of diblock copolymers, the absence of this constraint stabilizes the parallel orientation. Matsen also used the SCFT calculations to confirm the entropic preference when end-block domain is placed next to a surface in comparison to mid-block domains [185]. Designing the BCPs with complex architectures to access additional morphologies or circumvent the physical limitations to achieve similar morphologies with simpler materials remains largely unexplored option. As described above, considering the large enthalpic contribution of the PDMS block, neither perpendicular cylinders nor lamellae for PDMS-containing linear diblock copolymer thin films have ever been reported. Recently, an approach utilizing BCPs with star-like architecture to regulate entropic contributions of the BCPs at the interfaces has been proposed [186]. The star-architecture of the BCPs offers a unique topology which provides an extra entropic contribution (Fig. 48) and in turn this effect could be strong enough to overcome the enthalpic effects, leading to spontaneous development of perpendicularly oriented microdomains. To demonstrate the feasibility of entropy-driven method to make perpendicularly oriented nanostructures, Ho and coworkers systematically studied a series of PS-PDMS diblock and corresponding star-block copolymers [186]. The nomenclature used to describe the samples is (Sx -Dy )n at which the subscripts “x” and “y” are the molecular weights of each block (in units of kg mol−1 ), and the subscript “n” represents the number of arms. To systematically study the BCP architecture effect on thin-film phase behavior, a series of linear diblock PS-PDMS ((S13.7 -D7.1 )1 ) thin films with various thicknesses (120, 200 and 340 nm) were prepared, and thermally annealed at 280 ◦ C for 30 min. As evidenced by the TEM results (Fig. 49a–c), the annealed samples exhibit a parallel cylinder as expected due to the strong enthalpic interaction at the interface. In comparison,

thin films of the three-armed star-block copolymer (S13.7 -D7.1 )3 were prepared under the same conditions (identical thickness and annealing temperature). The star-block PS-PDMS samples exhibit hexagonally packed perpendicular cylinders (Fig. 49d–f). This significant morphological change was accounted to the effect of BCP architecture. In addition, it is imperative to analyze the morphologies near the interface which might be strongly affected by interfacial interactions. The observed randomly oriented stripe morphology (Fig. 50a) in three-arm star block PS-PDMS thin film from the top view, suggesting the formation of parallel cylinders (PDMS wetting layer), whereas, the bottom view (Fig. 50b) exhibits well-ordered perpendicular cylinders. These results are further confirmed by cross- sectional TEM results (Fig. 50c). The long-range ordering of the PS-PDMS thin films was examined by using GISAXS. the GISAXS pattern of the linear PS-PDMS thin film contains many diffraction spots without any semicircular lines which are correlated to parallel cylinders to the substrate (Fig. 50d). On the other hands, three-arm star-block PS-PDMS thin film shows in-plane diffraction pattern due to the form factor of the perpendicular cylinder (Fig. 50e). Moreover, there is a critical issue with respect to the orientation driven from the bottom of the substrate of the top of the air based on the nucleation and growth mechanism for microphase separation of BCP; it will be inevitable to encounter the alignment concern of oriented self-assembled nanostructures such as perpendicular cylinders. As found, it is indeed to create parallel cylinders from the top, but the perpendicular cylinders did overwhelm the orientation driven by entropic origin as shown in Fig. 50c. Self-consistent field theory (SCFT) simulations were performed to understand the orientation changes of the microdomains with different arm numbers. Specifically, the phase behavior of a series of n-armed AB star-block copolymers with n = 1, 2, 3 and 4 (each molecule is formed by joining n diblocks together with their Aends) was studied using SCFT. The case of n=1 corresponds to a linear AB-diblock copolymer. In their model, the A-species interact favorably with the air surface and tend to wet the polymer-air interface. The volume fraction of the A and B blocks and the A-B interaction were chosen such that the n-armed star-block copolymers form cylinder phases in bulk. They examined the phase behavior of the n-armed AB star-block copolymers confined to thin

54

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 48. Schematic illustration of enthalpy- and entropy-driven orientation in linear diblock and star block PS-PDMS thin films. The formation of surface wetting layer with parallel orientation in three-arm star-block PS-PDMS and the absence of the wetting layer when the BCP is designed with the same architecture but with less surface energy difference between the blocks are also illustrated. [186], Copyright 2016. Reproduced with permission from the American Chemical Society.

Fig. 49. TEM micrographs of linear PS-PDMS (S13.7 -D7.1 )1 (a, b, c) and three-arm PS-PDMS (S13.7 -D7.1 )3 (d, e, f) thin films with different thicknesses of 120 (a, d), 200 (b, e) and 340 nm (c, f), respectively. [186], Copyright 2016. Reproduced with permission from the American Chemical Society.

films of thickness h≈2d0 and 3d0 , where d0 is the period of the bulk lamellar and cylinder phases for the n-armed star-block copolymers [186]. The thickness of the film was chosen such that there would be no structural frustration due to film thickness. It should be pointed out that, due to the limitation of computational power, the film thickness used in the SCFT calculations is much smaller than the experimental values. Also, it is important to note that the polymer/air and polymer/substrate interaction parameters are chosen to approximate the experimentally measured surface interactions. As a result, the comparison of the theoretical results to experiments is qualitative. Instead, the theoretical study reveals the origin of the entropic effect is the topology of the star-block copolymers. The relative polymer-substrate and polymer-air surface interactions were selected to resemble those of the experimental system. Specifically, ␹ = ␹BAir– ␹AAir was chosen as a controlling parameter to tune the relative interaction between air and the A-B species while the parameters ␹ASub , ␹BSub, ␹AB, fB and the film thickness

h were fixed. Morphological transitions between parallelly and perpendicularly oriented nanostructures were determined by comparing their free energies calculated from SCFT calculations. In Fig. 51a, the difference in the free energy between the parallel and perpendicular phases (fE) as a function of ␹ for 1-, 2-, 3- and 4-arm star-block copolymers. In both cases, the transition from the perpendicular to the parallel phase occurs at larger ␹ values for copolymers with greater number of arms. These theoretical results clearly indicate that the entropic penalty for reorienting the narmed star-block copolymers to parallel morphologies is larger for BCPs with larger number of arms. As a result, this theoretical conclusion provides qualitative support to experimental observations. The effect of chain architecture on the phase behavior of n-arm star-block copolymers can be further understood by examining the distribution of the blocks in the perpendicular phase, which are revealed by the density profiles.

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

55

Fig. 50. FESEM of 3-arm star-block PS-PDMS thin films (340 nm thickness) (a) top-view and (b) bottom-view; (c) Cross-sectional TEM micrograph. 2D GISAXS pattern of (d) linear and (e) 3-arm star block PS-PDMS thin film samples of identical thickness. [186], Copyright 2016. Reproduced with permission from the American Chemical Society.

Fig. 51. (a) The free energy difference between the parallel and perpendicular phase for cylinder-forming n-arm star-block copolymer thin films with h = 2d0 . Here, f ≡ fparallel − fperpendicular plotted as a function of  ≡ BAir − AAir . (b) 3-D density profiles for the cylinder-forming 1, 2, 3 and 4 arm star-block copolymers as a function of ␹. [186], Copyright 2016. Reproduced with permission from the American Chemical Society.

As shown in Fig. 51b for the case with ␹ = 0, the microdomains extend from the substrate surface to the air interface. On the other hand, a wetting layer with parallel cylinders at the air interface is formed at smaller ␹ for the one- and two-arm star-block copolymers, but not the three- and four-arm copolymers. The theoretical results presented here provide complementary evidence, supporting the entropy-driven orienting effect via varying the topology of the star-copolymers. As described above, owing to the low surface energy of PDMS, a PDMS wetting layer is inevitable even with the use of star-block copolymers for entropy driven perpendicular orientation. Moreover, the PDMS wetting layer formed will induce the formation of parallel orientation due to the enthalpic origin, and it is necessary to remove the top layers with parallel orientation for practical use. Also, it will cause the alignment problem for the oriented microdomains since the ones with parallel orientation are from the top and ones with the perpendicular orientation are from the bottom through the nucleation and growth mechanism. As a result, Ho and coworkers proposed a facile method to create a capped neutral layer (sort of like top-coat layer) by using low-voltage and small-current air plasma treatment for the thinfilm surface of self-assembled PS-PDMS [187]. With the air-plasma treatment, it is possible to create a chemically modified surface layer and to introduce a capped neutral layer at the air/polymer interface to lower the interfacial energy difference. After the BCP surface was treated by air plasma, the chemical environment of the surface changes from hydrophobic PDMS wetting layer to hydrophilic crosslinked-like interface. When the oxygen in the air is ionized under a low pressure, many kinds of radicals can be formed during the ionization such as O3 • , O• , O2 • , etc. While the radicals bombard to the polymer surface, the radicals would react with the polymer chains to form not only multifunctional group such as OH group and COOH group, but also radical reaction sites via radiation emitted from the radical recombination. Consequently, it is feasible to fabricate high-aspect-ratio perpendicular cylinders span-thru the entire film as schematically illustrated in Fig. 52a [187]. Owing to relatively unstable states of the radicals, random copolymerization would occur when the radical segments start to recombine, and thus form a rigid, crosslinked top layer; the proposed mechanisms are illustrated in Fig. 52b. The composition of capped neutral layer contains homogeneously distributed PS and PDMS blocks, giving the ability to lower the interfacial energy difference between the

56

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 52. (a) Schematic illustration of controlled orientation of cylinder-forming star-block PS-PDMS by combining the architecture effect (entropy effect) on BCP self-assembly and surface plasma treatment (enthalpy effect) for neutralization to give well-defined perpendicular PDMS cylinders in the PS-PDMS thin film from the bottom of non-neutral substrate and the top of the air surface of the thin film; (b) Mechanism for plasma-induced random copolymerization to form the capped neutral layer; (c) TEM cross-section images for thin film with air-plasma treatment followed by thermal annealing at 280 ◦ C. [187], Unpublished.

air surface with PS and PDMS. As a result, by combining the architecture effect (entropy effect) on BCP self-assembly and surface plasma treatment (enthalpy effect) for neutralization, the formation of BCP thin film with perpendicular cylinders can be achieved from the substrate to the surface (Fig. 52c). 5.3. DSA of PDMS-containing BCPs To achieve the long-range ordering of BCP microdomains, DSA of BCPs by using topographic prepatterns (graphoepitaxy) and chemical prepatterns (heteroepitaxy) have been proposed and conducted. Graphoepitaxy or heteroepitaxy, or a combination of both methods could be used to meet various requirements in the MEMS process for nanopatterning. The majority of DSA researches has been carried out by using PS-PMMA because of its advantageous free surface which is energetically neutral to the constituted blocks of the BCP even at elevated temperatures, which enables the formation of perpendicular structures [34]. PS-PMMA also provides adequate etch selectivity because the PMMA component is particularly highly sensitive to ion bombardment. Yet, PS-PMMA exhibits a relatively small Flory-Huggins interaction parameter (␹) value, and can only form a half-pitch of approximately 10–12 nm [188]. Note that the high-␹ BCPs that contains organic components are unlikely to have the similar intrinsic etch selectivity as that of PS-PMMA. Recently, Willson and coworkers introduced a family of Si-containing BCPs including polystyrene-b-poly(trimethylstyrene) (PS-PTMSS), polystyrene-b-poly(pentamethyldisilylstyrene) (PS-PDSS), and (PMOSTpoly(4-methoxystyrene)-b-poly(trimethylstyrene) PTMSS) as suitable candidates for lithographic applications [189]. Later stages, PS-PDMS is found to be the most appropriate system for nanolithographic applications through DSA because PS-PDMS has a high ␹ and appreciable etching resistance; moreover, it is capable of achieving sub–10 nm feature sizes. It is noted that the DSA of PS-PDMS system has been widely studied by graphoepitaxy methods. For example, Ross and coworkers demonstrated the morphology control and systematic tunability of patterns dimensions for microphase-separated PS-PDMS within

shallow topographic patterns [190]. These structural control was principally achieved by controlling the solvent annealing conditions (solvent vapor pressure and the mixing ratio of selective and partially selective solvent vapors). Vapor pressure is the key parameter to control the degree of solvent uptake by the film, that could change both the interfacial interaction between the blocks and the chain mobility of the BCP. The authors also proposed a theoretical model to explain the increasing size of the pattern period with decreasing vapor pressure during annealing. It has also been found that a change in the effective volume fraction of the constituted blocks can be accomplished using selective and nonselective vapors mixture. As demonstrated by Ross and coworkers, independent control of pattern size and periodicity can be achieved by using a mixed vapor containing selective (heptane) and partially selective (toluene) solvents [190]. Fig. 53a shows that the schematic illustration of PS-PDMS self-assembling in topographically patterned substrate prepared by optical interference lithography. Fig. 53(b–e) show the SEM images of oxidized PDMS patterns as a function of the solvent vapor pressure, that can be tuned by varying the ratio of the solvent surface area (S) to the annealing chamber volume (V). It also shows the difference in period length, linewidth and fill factor (linewidth/period length). The corresponding changes of the pattern dimensions are in line with previous reports, in which domain spacing varies with vapor pressure and the concentration of polymer in solution. Ross and coworkers also demonstrated the formation of wellordered linear patterns from a cylinder PS-PDMS in topographical patterns by optimization of the substrate preparation and solvent annealing conditions, giving very low defect levels in the array [191]. Panel b and c in Fig. 54 show SEM images of an etched PSPDMS film on a substrate patterned with trenches of 10 ␮m wide. It should be noted that the PDMS cylinders are well aligned along the trenches, and the pattern is found to be defect free over at least a 6 ␮m area (Fig. 54b). The good ordering and low defect density are attributed to the high ␹ value, leadsing to a large interfacial energy between the blocks and a high-energy penalty for forming defects. The corresponding Fast Fourier transform (FFT) is shown

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

57

Fig. 54. (a) Schematic illustration of the chemical structure of PS-PDMS and schematic view of the PDMS cylinders after the RIE treatment. (b) SEM image of PDMS line pattern from a cylinder-structured PS-PDMS exhibiting an excellent longrange order. (c) the enlarged images of smaller areas of the array. (d) A FFT of the low-magnification image. (e) The GISAXS pattern of the PDMS line pattern. [191], Copyright 2010. Reproduced with permission from the American Chemical Society.

Fig. 53. a Schematic illustration of steps used to carry out PS-PDMS self-assembly. Firstly, the cylinder-structured PS-PDMS was spin-coated on topographically patterned substrates, the solvent-annealed with mixed vapors of selective and partially solvents, and finally treated with CF4 and O2 plasmas. b-e. SEM images of the cylinder-structured PS-PDMS after solvent annealing and RIE treatment to remove the PS block. Line patterns with different periodicity and linewidths were prepared by using different toluene vapor pressure conditions. The S/V ratios are 0.571 (b), 0.601 (c), 0.619 (d), and 0.968 (e). [190], Copyright 2009. Reproduced with permission from John Wiley & Sons Inc.

in Fig. 54d. The FFT result is characterized by two sharp spots away from the center, corresponding to high-frequency linear structures with a uniform periodicity. GISAXS analysis is given in Fig. 54e which shows a sharp diffraction patterns including well-resolved high-order reflections due to the formation of a uniform monolayer of cylinders. The fast self-assembly of BCPs and/or its rapid pattern formation are useful for next-generation lithography applications. However, there are certain critical hurdles that might limit the rapid self-assembly of BCPs (high ␹) due to their slow kinetics.

To address this issue, Kim and coworkers reported a technique to accelerate the kinetics of self-assembly of PS-PDMS in a topographically patterned substrates using a binary solvent-vapor annealing system [192]. The authors have systemically studied the effects of the mixing ratio of a binary solvent composed of PDMSselective solvent (heptane) and a PS-selective solvent (toluene) on the self-assembly of PS-PDMS, resulting in ultrafast self-assembly to obtain well-ordered nanostructures. Also, it was found that solvent mixture containing higher amount of PDMS-preferential solvent (pentane) not only facilitates the fast self-assembly process. but also significantly increases the microdomain period, suggesting the increase of the effective interaction parameter. Finally, the authors also demonstrated that well-defined patterns in the line/hole trenches with a 300 nm width/diameter show extremely rapid sub–20 nm dot/ring pattern formation (10 s/1 min) using the optimized annealing conditions of the binary solvent mixture. Fig. 55a shows the schematic illustration of experimental setup to control the PS-PDMS self-assembly kinetics using the binary solvent mixture annealing. Note that typical solvent annealing using a single-component solvent shows comparatively slower selfassembling kinetics whereas binary solvents treatment induces faster or slower kinetics depending on the solubility parameter and vapor pressure of each component in the mixture. For the PSPDMS systems with a high-␹, a well-defined nanostructure is not

Fig. 55. Schematic illustration of enhanced BCP self-assembly kinetics using binary solvent mixture annealing. (a) The experimental setup for binary solvent annealing of PS-PDMS in topographical trenches. (b) Comparison of derived morphologies of self-assembled PS-PDMS by using various binary solvent treatments. PS-PDMS system with a high- ␹ shows different self-assembly kinetics to get well-defined, ordered structures, depending on the solubility parameters of employed solvents. [192], Copyright 2015. Reproduced with permission from the American Chemical Society.

58

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 56. I Schematic view of PS-PDMS thin-film preparation method to fabricate 5 Tb/in2 bit patterns. II. Self-assembled PS-PDMS on the topographically patterned substrates with (a) thin; (b) intermediate; (c) thick thicknesses. Scale bar: 100 nm [193], Copyright 2013. Reproduced with permission from John Wiley & Sons Inc.

easily produced with a pure toluene vapor treatment and a shorter annealing time. Well-aligned nanostructures, however, can be produced by using a solvent containing a PDMS selective solvent and a PS-selective solvent. Nevertheless, a mixture of a non-preferential and preferential solvent leads to a binary disordered morphology as shown in Fig. 55b. Russell and coworkers also demonstrated the solvent annealing technique to assist the DSA in low molecular weight PS-PDMS thin films, and to improve the long-range lateral order as high as 5 Tb/in2 [193]. Various types of PS brushes were employed to modify the substrates, which found to significantly affect the de-wetting behavior of the thin films. It was found that the lithographically patterned substrates clearly enhance the long-range lateral order of the BCP films. Fig. 56I, shows the general procedure for using PS-PDMS to fabricate 5 Tb/in2 bit patterns. All the Si substrates were modified by PS-OH brushes. The PS-PDMS solution was spin-coated on the modified Si substrates to yield a thin film with a thickness of approximately 1 L0 , which was later subjected to solvent annealing. The guiding effect of the topographically patterned substrates can be clearly observed in Fig. 56II. When the film thickness is below 1 L0 , the PS-PDMS is confined in the trenches and selfassembles into well-ordered hexagonal packed spheres (Fig. 56IIa) with the hexagonal (010) plane following the wall of the topographic trenches. When the film thickness is higher, the total surface is covered by hexagonally packed nanodot arrays with very good long-range lateral order (Fig. 56IIc). The Fourier transform of the SEM image is given as the inset in Fig. 56IIc. The

observed six-point patterns with multiple higher-order reflections clearly evidence the formation of long-range lateral order. Ross and coworkers demonstrated a unique method to produce perpendicularly oriented lamellar microdomains in high-aspect-ratio gratings using high interaction parameter PS-PDMS [194]. The perpendicular alignment was achieved by both thermal and solvent annealing methods for a range of film thicknesses and trench dimensions. Laser interference lithography was employed to produce the high aspect-ratio gratings in the substrate. The interference pattern of two coherent laser beams produces a grating pattern with period of ∼200 nm, and subsequently grating pattern was transferred from the photoresist to an underlying thick antireflective coating (ARC) layer, giving trenches with width and depth ≥100 nm (Fig. 57a). To get a preferential surface, a PDMS brush polymer was grafted on the sidewalls and bottom of the trench. The surface affinity of the trench bottom was modified by coating with electron beam. A platinum (Pt) layer was fabricated at the base of the trenches to avoid the formation of evaporated Pt before making the ARC grating. This causes the bottom of the trenches considerably less attractive to PDMS blocks than the sidewall grafted with PDMS brushes. Fig. 57(c1) shows SEM of top view of a 100 nm thick PS-PDMS film with inplane lamellar microdomains after RIE treatment whereas a 230 nm thick film with out-of-plane lamellae can be found in Fig. 57(c2). Fig. 57d–f show cross-section SEM of self-assembled perpendicular lamellae inside the high-aspect-ratio grating with thickness of (d) 230, (e) 550, and (f) 250 nm. As a yet another example, Ross and coworkers also demonstrated that an array of carefully arranged posts, prepared by e-beam patterning of hydrogen silsequioxane (HSQ), that can be used to direct complex patterns in a cylinderforming BCPs [195]. The orientation of the linear features can be controlled by making the post spacing commensurate with the equilibrium periodicity of the polymer. Any further local changes in the shape or distribution of the posts result in the formation of bends, junctions and other aperiodic patterns at specific locations. Fig. 58a shows examples of a lattice consisting of dots only where a degeneracy occurs between two directions. Both orientations occupy almost equal areas of the post template, the bends will be formed at random positions if one orientation has switched to the other. However, bends and junctions can effectively be controlled at desired locations by making one orientation more energetically favorable than the other by using posts in the shape of dashes. Using this method, ‘zig-zag’ structures (Fig. 58b) and a single cross-point with surrounding nested-elbow structures (Fig. 58c) can be successfully acquired. Also, they pointed out that placing additional posts in the template at specific locations can introduce

Fig. 57. a) Schematic illustration of lamella-structured PS-PDMS film in a high-aspect-ratio grating. ␥Side, ␥Top, ␥Bot are the interfacial energies of the sidewall, bottom, and top surface, respectively. w is the width of the topographic trenches, and t is the BCP film thickness. Blue color indicates the PS and red the PDMS blocks. (b) SEM image tilted view of a cross-section of a high-aspect-ratio grating; the inset they show top-down view of high-aspect-ratio grating. (c1) Top-down SEM image of a 100 nm thick film showing in-plane lamellae after RIE. (c2) 230 nm thick film showing out-of-plane lamellae. 75◦ SEM tilted view of cross-section of self-assembled perpendicular lamellae inside high-aspect-ratio trench with film thickness of (d) 230, (e) 550, (f) 250 nm. The thin films solvent (d) annealed for 3 h using 3:1 mixture of toluene/heptane, (e) annealed for 3 h under acetone vapor, (f) annealed for 3 h under cyclohexane solvent. [194], Copyright 2015. Reproduced with permission from the American Chemical Society. (For interpretation of the references to colour in this figure legend, the reader is referred to the web version of this article.)

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 58. Templated bends and junctions: by using (a) a template of a rectangular array of circular posts and bends formed in random locations, (b) an array of dashes instead of circular posts, (c) an array of dashes oriented in the x- and y-directions, (d) a meander structure with sharp bends. [195], Copyright 201. Reproduced with permission from the Nature Publishing Group.

further complexity. For example, by positioning three additional posts at each bend of the template, a single cylinder microdomain can be folded into a tight meander structure (Fig. 58d). Eventually, this process can considerably decrease the required patterning time to make pattern masters in comparison with e-beam lithography. Moreover, this technique is highly advantageous for both selective and local control of complex linear patterns, giving the potential to be used in integrated circuit interconnects. Thin films of BCP are widely seen as enablers for nanofabrication of planar devices (2D devices). However, the inherently three-dimensional structure of BCP microdomains could enable them to make three-dimensional devices and complex patterns. Ross and coworkers demonstrated that a bilayer film of a cylindrical forming BCP, templated by an array of functionalized posts, can form a rich variety of three-dimensional (3D) structures [196]. Fig. 59a shows the schematic illustration of the major steps of the 3D templating process. In the first step, hydrogen silsequioxane (HSQ) resist was spin coated on Si substrates followed by e-beam lithography to fabricate the post templates. Next, the substrates and posts were chemically functionalized with hydroxyl terminated PS brush. A cylinder-forming PS-PDMS was spin coated on the substrates with the post templates followed by solvent annealing. Finally, an oxygen reactive ion etch was used to remove the PS block, revealing the oxidized PDMS patterns. Fig. 59b shows a bilayer film templated by a rectangular array of PS-functionalized posts; Fig. 59c shows magnified and cross-section SEM image of regions inside and outside the templated region. Inside the templated region, the cylinders in the top and bottom layers selfassemble into a meshlike array that covers the entire templated region. Fig. 59d and e show another example of templated bilayer films in which additional columns of square or parallelogramshaped holes can be generated between the posts. This technique allows control of microdomain patterns and the ability to route and connect of the individual layers of microdomains within a bilayer in specific directions [196]. One of the important concerns in a DSA process is the directing efficiency, a critical factor in deciding the

59

Fig. 59. Fabrication of 3D nanopattern: (a) schematic illustration of the steps involved, (b) SEM image of an etched bilayer structure on a substrate: (c) upper insets: enlarged SEMs (red and green boxes in b) and lower inset: cross-sectional SEM of the regions inside and outside the template, (d) SEM of angled mesh-shaped structure and (e) structures formed from two layers of oxidized-PDMS cylindrical arrays. The bright dots and the light gray features represent HSQ and oxidized-PDMS, respectively. [196], Copyright 2012. Reproduced with permission from the American Association for the Advancement of Science. (For interpretation of the references to colour in this figure legend, the reader is referred to the web version of this article.)

manufacturing cost involved. The directing efficiency can be quantified by the density multiplication (DM), which is defined as the density ratio of the BCP domains to the preformed patterns. In general, there is an upper limit of the directing efficiency in the DSA using either chemical epitaxy or graphoepitaxy. More specifically, in the DSA using graphoepitaxy for long-range ordered cylinder patterns, an obvious limit of DM, smaller than 25, was found in experiments while the directing geometrical pattern is composed of hexagonal array of nanoposts [120]. This typical efficiency limit is attributed to the characteristics of the dislocation pairs, evidenced in the dynamic simulations of time-dependent Ginzburg-Landau (TDGL) theory [197,198]. In addition, the TDGL simulations also revealed that the underlying mechanism for the presence of the directing efficiency limit is the spontaneous ordering of the system via nucleation or spinodal kinetics. In general, the metastable phase of any system has a great tendency to transform into more stable phases via nucleation processes driven by thermal fluctuations. In BCPs, a metastable disordered phase will transform into a much more stable ordered phase via a nucleation and growth mechanism. Lamellar [199,200] and cylinder microdomains [201–203] of different BCPs nucleated from a disordered state have been observed in various experiments and simulations studies. On the basis of a series of simulations for the DSA of BCPs, Shi and coworkers proposed a successful strategy for the fabrication of large-scale ordered patterns via the heterogeneous nucleation and growth process induced by a periodic array of anisotropic nucleation sites (as illustrated in Fig. 60) [204]. Ho and coworkers demonstrated the entropy-driven orientation of the star-block PS-PDMS with per-

60

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 60. Schematic illustration of perfectly ordered patterns via corner-induced heterogeneous nucleation of self-assembled BCPs confined in hexagonal potential wells. [204], Copyright 2015. Reproduced with permission from the American Chemical Society.

pendicular cylinder within the topographic pattern, and therefore used it as a model system for investigating the suggested nucleation and growth mechanism [205]. As observed, the sidewalls (or edges) and corners of the topographic pre-patterned substrate can serve as heterogeneous nucleation sites for microphase separation of BCPs. The morphological evolution within topographic line trench with different dimensions evidences the nucleation and growth mechanism. Moreover, two- dimensional DSA performs faster development than the one from one-dimensional DSA, suggesting the confinement effect on the nucleation and growth mechanism of microphase separation. Accordingly, the growth rate for the microphase separation is highly dependent upon the dimension of the trench (size and shape) and also the geometric texture of the pre-formed patterns. The experimental scheme of the growth of the star-block PS-PDMS cylinders in 1D topographically patterned surface and the corresponding SEM image are shown in Fig. 61(a). Fig. 61(b) demonstrates the morphological evolution of the microphase-separated cylinders through the nucleation and growth mechanism. With the surface modification of the sidewall of topographic trench using PS brushes, the nucleation for the ordering of microphase-separated star- block PS-PDMS can be initiated from the edge and/or corner of the topographic trenches, and directed to give rise to well-developed perpendicular cylinders with controlled orientation and excellent long-range ordering. To acquire polymer brush grafting only at the trenches, polymer brushes were grafted on both trenches and mesas of the patterned substrate by spin casting followed by thermal annealing. Subsequently, the polymer brushes on the mesas would be selectively removed by RIE using O2 plasma. 5.4. Lithographic applications and beyond Advances in the MEMS process are predicated on the transfer of smaller patterns on functional substrates. The general process requirements include the following three major steps: (1) formation of thin film patterns, (2) selective removal of microdomain, and

Fig. 62. a Schematic illustration of fabrication of metallic nanowires from the selfassembling of PDMS containing BCP and subsequent pattern transfer. As an example, PS-PDMS was spin coated and solvent annealed to get a monolayer of parallel cylinders pattern. Subsequently, a two-step RIE treatment was carried to remove the PDMS wetting layer and the process leaving behind oxidized PDMS cylinders. Different kinds of metallic films were then deposited on the oxidized PDMS nanopattern and subsequently etched back by CF4 plasma. (b) Different metallic (Ti, W, Pt, Co, Ni, Ta, Au, and Al) nanowires fabricated using the suggested method. [206], Copyright 2010 Reproduced with permission from the American Chemical Society.

(3) transfer of the pattern into an underlying substrate. Historically, thin film patterns have been produced by patterning photoresists through optical lithography, which can then be etched and subsequently transferred into an underlying substrate (e.g. SiO2 ). Redesigning the patterning methods also impose re- engineering the associated pattern transfer methodologies. Significant efforts must be dedicated towards improving lithographic patterning well beyond the capabilities achieved to date. This section discusses about the successful pattern transfer of functional nanostructures and some of the miscellaneous applications of BCP thin films and derived nanomaterials.

Fig. 61. (a) Schematic illustration of step-wise experimental of the experimental procedure for the DSA of the star-block PS-PDMS in 1D line pattern and SEM image of fully grown PS-PDMS in the trench. (b) Morphological evolution for the microphase-separated cylinders through the nucleation and growth mechanism for the DSA of the star-block PS-PDMS. [205] Unpublished.

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

61

Fig. 63. a Procedure for polymer nanowire fabrication: (b) Top-view and (c) tilt-view SEM images of PEDOT:PSS nanowires after reactive ion etching, using the self-assembled PDMS patterns as an etch mask. (d) Schematic illustration of a chemiresistor based on nanowires of PEDOT:PSS for ethanol vapor detection. (e) Comparison of the response (R/R0 ) of the nanowire array with that of thin films of three different thicknesses (14, 19, and 37 nm). [207], Copyright 2008. Reproduced with permission from the American Chemical Society.

To effectively convert the produced BCP morphologies to functional materials, pattern transfer is essential and etching has been used as a powerful methodology to pattern transfer is essential and etching has been used as a powerful methodology to transfer the self-assembled patterns into underlying layer. Ross and coworkers demonstrated the fabrication of conducting polymer (poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS)) and various metals (Ti, W, Pt, Co, Ni, Ta, Au, and Al) nanowires using an etch mask consisting of self-assembled patterns of cylinder-forming PS-PDMS diblock copolymer confined in topographic templates. [206,207]the oxidized PDMS patterns through plasma treatment, a reverse-contrast image of the metallic film with a thickness equal to that of BCP pattern can be obtained (Fig. 62b). They have demonstrated the formation of aligned polymeric and metallic wire patterns with excellent long range order on substrate patterned with a micrometer-scale guiding template which can be further used for various applications. Similarly, fabrication of PEDOT: PSS nanowires by using pattern transfer technique is depicted in Fig. 63a. The silica-coated silicon substrate was initially patterned with period of trenches, and then was coated with PEDOT:PSS (20 nm), SiO2 (5 nm) and a thin (3–4 nm) PDMS homopolymer brush. The PS-PDMS block copolymer was spin-coated on this multilayer substrate and subsequently solvent annealed to give nanoarrays with parallel oriented PDMS cylinder, which was used as a mask to transfer the patterns into the underlying PEDOT:PSS. Fig. 63b and c show the SEM images of patterned conducting polymer nanowires. They further fabricated a

two-terminal chemiresistor gas sensor device to test whether patterning can affect the electrical properties of the polymer or not. Fig. 63d schematically illustrates the formation of Au electrodes on top of the polymer nanopattern, enabling a large number (1.3 × 105 ) of nanowires to be measured in parallel. The response R/R0 of the nanowire array is compared with that of three thin films in Fig. 63e. The DSA of block copolymer films using templates consisting of chemical or topographical features has allowed for the formation of patterns of lines and dots with long-range order as mentioned in the previous paragraph and section 4.4. These DSA results have been successfully reproduced using self-consistent field theory (SCFT) to model the experimental topographical or chemical template features. In all these calculations, the starting point is to define the template and then to calculate the resultant microdomain morphology. In other words, they only solve the “forward” problem. A recent solution to this problem is to use an inverse algorithm that can determine the spatial configuration of template features for a given target pattern. Recently, Ross and coworkers have proposed an inverse design algorithm which combining a random optimization process with the SCFT simulations [208,209]. This inverse design algorithm can predict the necessary topographical template needed to direct the self-assembly of a diblock copolymer to produce a given complex target structure (Fig. 64a). The approach was optimized by varying the number of topographical posts, post size, and block copolymer volume fraction to yield a template solution that generates the target. The overview of the inverse design process and its experi-

62

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 64. a Schematic illustration for the comparison of the direct method and inverse method (b) Two target structures. (c) The inverse design algorithm of the target structures with resulting PS-PDMS block densities calculated by SCFT. (d) SEM images of fabricated HSQ posts by e-beam lithography on the basis of inverse design algorithm. (e) Oxidized PDMS nanopattern.

mental results are shown in Fig. 64b–e. The two targeted structures TS1 and TS2 are given in Fig. 64b and the corresponding results of inverse algorithm are shown in Fig. 64c wherein the black squares represent the calculated post positions and the red and blue region represent the density of blocks A and B templated by the post position calculated by SCFT. From the SCFT calculations, it is very clear that the template indeed generates density fields much closer to those of the target structure. Fig. 64e shows the resultant nanopattern produced from the self-assembly of PS-PDMS over the designed templates (Fig. 64d). The produced patterns are undoubtedly close to the targeted structure, giving an experimental confirmation of the proposed inverse design model. Recently, Ho and coworkers have demonstrated an method to fabricate various monolayer or multi-layered 3D SiOx nanopatterns [210]. With the aid of solvent annealing, nanostructured PS-PDMS thin films with different morphologies, such as hexagonally perforated lamellae (HPL), parallel cylinders, or hexagonally packed spheres, can be precisely acquired from a simple lamella-forming PS-PDMS BCP in bulk. Furthermore, by taking advantage of high etching resistance of the silicon-containing block, various topographic SiOx can be fabricated after reactive ion etching treatment. The formed topographic SiOx patterns can be further used as a topographical substrate to give multi- layer nanopatterned thin films using a layer-bylayer sequential process via DSA. This process is schematically illustrated in Fig. 65. The authors demonstrated three different combinations of two-layer topographical patterns: the line-onhole (HPL + cylinder), dot-on-hole (HPL + sphere) and dot-on-line (cylinder + sphere) as shown in Fig. 65. This excellent demonstration evidences the feasibility to fabricate 3D nanopatterning for various appealing applications. One of the most appealing applications of self-assembled BCP thin film nanostructures to nanopatterning is to utilize them as a mask to generate inorganic nanoarrays and nanoporous thin films. For this, Ho and coworkers executed a one-step oxidation process of self-assembled PS-PDMS thin films to simultaneously etch the minor PS block into thru- pore nanochannels and to convert the PDMS matrix into a robust inorganic film [211]. An ultrathin freestanding nanoporous

films with well- defined hexagonally packed nanochannels can be obtained through the self-assembly of PS-PDMS. These thin films subsequently can be used as masks for pattern transfer into various underlying substrates by suitable etching process to create different nanoporous polymeric materials. Since the fabricated mask films are freestanding for pattern transfer, they are reusable, providing an easy and highly selective-etching process to produce various topographic polymeric patterns. The freestanding nanoporous thin films (the silicon oxy carbide thin film with cylinder nanochannels) can be directly produced by the O2 plasma treatment, and closely placed on various polymeric substrates (Fig. 66I). This nanoporous free standing thin film could be used as an etch-resistant and reusable mask for pattern transfer into various underlying polymeric substrates to directly generate nanoporous polymeric materials. Note that this silicon oxy carbide mask can be repeatedly used because it is robust and has high etching selectivity under the oxygen RIE. They demonstrated topographic thin films of PS, PMMA, and PC using the one-step oxidation method (Fig. 66II), providing an efficient way of nanofabrication to fabricate topographic polymeric nanopatterns. Considering the importance of nanostructure geometries for potential applications, a ring-shaped nanostructure is highly useful in the design of various devices, Ho and coworkers also demonstrated a method for the successful preparation of thin films with core-shell cylinder nanostructures from the self-assembly of PS-PDMS through surface reconstruction [135]. By taking advantage of the feature of PDMS, the core-shell cylinder thin film can be easily oxidized and used as a template to prepare silicon oxy carbide nanoring arrays through controlled oxidation of two-step RIE treatment with a mixture of CF4 and O2 (10:1) and subsequent O2 plasma. The schematic illustration for the preparation of silicon oxycarbide nanoring arrays from PSPDMS thin films are shown in Fig. 67a. The SPM (Fig. 67a), top-view FESEM (Fig. 67c) cross-sectional view FESEM images clearly evidence the formation of silicon oxycarbide nanoring arrays (Fig. 67d) from oxidized thin film. The silicon oxy carbide has specific functions because of its molecular structure in which oxygen and carbon atoms share bonds with silicon in a network structure. In contrast

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

63

Fig. 65. Schematic illustration of layer-by-layer sequential process for the fabrication of various double-layer topographical nanopatterns. The corresponding SEM images of the multi-layered 3D SiOx nanopatterns were shown at the right side. [210], Unpublished.

Fig. 66. I Schematic illustration of polymeric topographic nanopattern prepared by using self-assembled PS-PDMS. Top-view FESEM images of (a) PS, (b) PMMA, and (c) PC topographic nanopatterns produced by using the silicon oxy carbide nanoporous mask for the pattern transfer. (d) Top-view FESEM image of the nanoporous mask after repeatedly using the mask for pattern transfer more than 30 times; the inset shows the enlarged area. [211], Copyright 2010. Reproduced with permission from the American Chemical Society.

Fig. 67. a Schematic illustration of silicon oxycarbide nanoring arrays fabricated from self-assembled PS-PDMS. (b) SPM height, FESEM (c) top-view, (d) crosssectional view images of silicon oxycarbide nanoring arrays from oxidized PS-PDMS thin film (Inset shows the enlarged area). [135], Copyright 2010.

to pure silica, the incorporation of the carbon in silicon oxy carbide is expected to strengthen the molecular structure in the SiO2 network, and thus consequently, those nano-ring arrays can be used as an excellent mask for pattern transfer due to their exceptional thermal properties, mechanical strength and resistance to corrosion as discussed earlier. In addition to nanopatterning, the self-assembled BCP films can also be used to fabricate excellent nanoporous polymer membranes with high separation potential. For example, Russell and coworkers prepared an asymmetric membrane for virus filtration where a thin layer of nanoporous PS-PMMA functioned as a top-layer membrane with ultrahigh selectivity and flux [212]. Note that the bulk morphology of BCPs can be effectively controlled by the composition, chain length, molecular architecture, and temperature; most importantly, the outer surface morphology can be manipulated by controlling interfacial energy. This in turn can affect the properties of the membrane and also the overall performance of the nanoporous membrane, such as rejection, flux, selectivity and biocompatibility. Ndoni and coworkers also fabricated nanoporous membranes from self-assembled 1,2-polybutadiene-b-polydimethylsiloxane (1,2-PB-b-PDMS) via quantitative and selective removal of the PDMS block, as illustrated in Fig. 68I [213]. The chemical structure and the ␹ value of the BCP are given in Table 1. The PDMS block

64

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

Fig. 68. I Schematic illustration of the steps involved in preparation of nanoporous 1,2-PB nanoporous membrane from a 1,2-PB-b-PDMS block copolymer precursor. The BCP (left) is allowed to self-assemble into a gyroid morphology at the cross-linking temperature (middle). The PDMS is selectively removed to get a nanoporous membrane (a) Photograph of the fabricated nanoporous membrane; (b) cross-sectional SEM image of membrane showing the (421) projection of gyroid; (c) TEM micrograph of an of nanoporous membrane showing the (111) “wagon-wheel” projection of gyroid structure. [213], Copyright 2011. Reproduced with permission from the American Chemical Society.

can be selectively degenerated by using tetra-n-butylammonium fluoride solution in THF aqueous solution as etching agent. The fabricated membrane has narrow pore size distribution and high porosity as well so that high throughput and selectivity are expected. Fig. 68II (a, b and c) shows thea photograph of the prepared nanoporous membrane, SEM cross-section view and TEM micrograph of the film, respectively. Ndoni and coworkers also demonstrated the lubricating effect of PS-PDMS films spin-coated on Si wafers [214]. In the study, the friction properties of symmetrical and near-symmetrical PS-PDMS films were evaluated in contact with bromobutyl rubber in a plate-on-plate configuration. The lubricating effect was found to be constant down to the film thickness comparable to the chain dimensions of the copolymer, R0 , after that the effect is decreased progressively. At thicknesses below R0 , the film would dewet the wafer, giving isolated domains with sizes comparable to R0 . The BCP could further be blended with up to 70 wt% of PS without detecting any considerable decrease in the lubricating effect. The authors also proposed a mechanism for the observed frictional behavior wherein microflakes are abraded from lamella-structured BCP surfaces and stick to the contacting rubber surface. Demiral and coworkers demonstrated fabrication of onedimensional nanoporous polymeric nanofibers within an anodic aluminum oxide (AAO) membrane by a facile process based on selective etching of PDMS microdomains in the PS-PDMS [215]. It was found that, prior to etching, the well-ordered PS-PDMS nanofibers are solid and do not contain porosity. The PS nanofibers derived after etching is highly porous and the pore size ranges from 20 to 50 nm. The fabricated nanoporous PS fibers were found to be a potential drug carrier for the native, continuous, and pulsatile drug release with Rhodamine B as a model drug. The studies also indicated that the enhanced drug release and tunable drug dosage can be achieved by using ultrasound irradiation. 6. Conclusions and perspectives The technologies for BCP lithography have developed for two decades. Using silicon-containing BCPs for lithographic works have been extensively studied due to its large segregation strength (i.e., small feature size) and high etch contrast for fabrication of robust well-defined nanopatterns with high resolution. The semiconductor foundry favors the use of silicon-containing BCPs by considering the availability of dry process using thermal annealing for ordering of the BCPs and reactive ion etching for pattern transfer of the BCP nanostructures. Usually, the foundry aims to avoid the

use of solvents (the wet process) for the lithographic works due to the concerns of solvents that might have detrimental effect on fab facility. Since the original idea for BCP lithography proposed by Register and coworkers in 1997 [30], many creative methods have been suggested and demonstrated to acquire controlled orientation of silicon-containing BCP thin films. The thickness commensuration is the first issue to be considered, and systematic studies have been conducted to give ideas and guidelines for the concerns of confinement effect. Moreover, the interfacial interaction effects on self-assembled BCP should be considered at which the effects from the air surface and from the substrate play important roles for developing the final morphologies. For patterning, it is appealing to acquire controlled orientation of cylinders or lamellae instead of using self-assembled monolayer with spheres. Perpendicular orientation, in particular for lamella-forming BCPs, is usually demanded. The basic strategy to solve the encountered problems can be classified into two methods: enthalpic and entropic approaches. To orient BCP thin films from enthalpic approach, the basic concept is to create neutral substrate for perpendicular cylinders or lamellae from BCP self-assembly. There are different approaches to achieve the goal such as using block copolymers having balanced affinity with substrate or using random copolymers as substrate. In contrast to enthalpic approach, the use of entropic approach (architecture effect on BCP self-assembly) such as using triblock copolymers or using star-block copolymers can be exploited to give perpendicular orientation. Similar strategies have been proposed to overcome the interfacial interaction effect from the air surface, in particular for PDMS-containing BCPs due to its low surface energy problem that causes a thin wetting layer at the air surface. Note that the PS-PDMS system is the most examined one for BCP lithography in academia and industry as well. The high etch contrast and the strong segregation strength are the intrinsic reasons to choose this system for BCP lithography. Also, the availability of the samples from synthesis and the corresponding characterization is advantageous for its common use. With the enthalpic and/or entropic origins, it is possible to drive the formation of controlled orientation at its lowest Gibbs free energy state through thermal annealing. Currently, the topdown and bottom up approaches in feature size definition are accurately crossed over. By integration of top-down and bottom up approaches, it is feasible to give long-range-order nanostructured thin films from the DSA of BCPs in addition to the strategies used for orienting BCP thin films. Yet, for the consideration of massproduction line, the top-down approach is still the mainstream for the lithographic works. Currently, they are three major semicon-

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

ductor foundries: Taiwan Semiconductor Manufacturing Company (TSMC) (Taiwan), Samsung Electronics (Korea) and Intel Corporation (US). The mass production line is available to give sub–22 nm linewidth definition. In fact, TSMC has 16 nm processing technology whereas Samsung and Intel possess 14 nm processing technology. The 193 nm ArF is the light source for the production line, and it is possible to reach 10 nm linewidth definition or beyond. The other top-down approaches such as extreme UV and e-beam lithographic approaches are still not cost-effective that really limits the potentials for using new developing top-down approaches to serve the purposes although it is possible to bring the linewidth definition below 10 nm and even 5 nm from EUV or e-beam lithographic works. As claimed by TSMC, the cost-effective method for lithographic works in 10 nm processing technologies should be available in the end of 2016. They also foresee the feasibility of reaching 7 nm in the beginning of 2018 that did bring the new opportunities and competitions for MEMS technologies. In contrast to the stateof-the-art approaches of top-down methods, the self-assembly approaches, in particular BCP lithography, is indeed a cost-effective approach. For BCP lithography, it is possible to use high interaction parameter systems for the linewidth definition even below 5 nm. Yet, the large defect density problem from self-assembling nature and the strategies for deploying complex patterns are still the main setbacks and critical concerns for practical applications. How to reduce the defense density is always the big challenge for the use of BCP lithography in semiconductor foundry. One of the promising approaches is to use solvent annealing for the purpose. As demonstrated by Russell and coworkers, it is possible to achieve defect-free nanopatterns in the large area for foundry applications [51]. Yet, the critical issue to overcome is about the acceptance of using solvents in the fab. For the strategies of patterning complex features, it is possible to carry out the DSA approach either using graphoepitaxy and heteroepitaxy approaches as demonstrated by Thomas, Ross and coworkers [44] and Nealey and coworkers [118] respectively. The DSA indeed brings the appealing technology for the purposes. Recently, the feature size from BCP self-assembly can be even smaller by using new types BCPs such as the one developed by Willson and coworkers [189]. There are plenty of opportunities but also many challenges for using BCP lithography in the semiconductor foundry applications. It is indeed in a decisive moment for BCP lithography to exploit the developed technologies for MEMS processing and fabrication. Currently, those foundries keep it as an alternative for future lithographic applications. Yet, without clear demonstration for solving the defect density problem; it is not the needed ready-to-use technology for practical applications in MEMS. In addition to the applications in MEMS, there should be other opportunities for BCP lithographic applications. An appealing one is to extend the 2D patterning to 3D patterning. Considering the easy processing for thin-film formation, it is feasible to create multilayer texture from layer-by-layer strategy. Acknowledgement This research was supported by the Ministry of Science and Technology, Taiwan (MOST 104–2119-M-007-011, MOST 103–2221-E-007-132-MY3 and MOST 104–2811-E-007-024). References [1] Cheng JY, Ross CA, Smith HI, Thomas EL. Templated self-assembly of block copolymers: top-down helps bottom-up. Adv Mater 2006;18:2505–21. [2] Morris MA. Directed self-assembly of block copolymers for nanocircuitry fabrication. Microelectr Eng 2015;132:207–17. [3] Luo M, Epps TH. Directed block copolymer thin film self-assembly: emerging trends in nanopattern fabrication. Macromolecules 2013;46:7567–79. [4] Ji S, Wan L, Liu CC, Nealey PF. Directed self-assembly of block copolymers on chemical patterns: a platform for nanofabrication. Prog Polym Sci 2016;54–55:76–127.

65

¨ [5] Li W, Mullera M. Directed self-assembly of block copolymers by chemical or topographical guiding patterns: optimizing molecular architecture, thin-film properties, and kinetics. Prog Polym Sci 2016;54–55:47–75. [6] Hawker CJ, Russell TP. Block copolymer lithography: merging bottom-up with top-down processes. MRS Bull 2005;30:952–66. [7] Stoykovich MP, Nealey PF. Block copolymers and conventional lithography. Mater Today 2006;9:20–9. [8] Bates CM, Maher MJ, Janes DW, Ellison CJ, Willson CG. Block copolymer lithography. Macromolecules 2014;47:2–12. [9] Gu X, Gunkel I, Russell TP. Pattern transfer using block copolymers. Philos Trans R Soc A 2013;371(20120306):1–34. [10] Senefelder A. The Invention of Lithography. New York: The Fuchs & Langs Manufacturing Company; 1911. p. 34–54. [11] Wouters D, Schubert US. Nanolithography and Nanochemistry Probe-related patterning techniques and chemical modifications for nanometer-sized devices. Angew Chem Int Ed 2004;43:2480–95. [12] Ito T, Okazaki S. Pushing the limits of lithography. Nature 2000;406:1027–31. [13] Klug A. From macromolecules to biological assemblies. Angew Chem Int Ed 1983;22:565–82. [14] Rothemund PWK. Folding DNA to create nanoscale shapes and patterns. Nature 2006;440:297–302. [15] Spears DL, Smith HI. High-resolution pattern replication using soft X rays. Electron Lett 1972;8:102–4. [16] Gwyn CW, Stulen R, Sweeney D, Attwood D. Extreme ultraviolet lithography. J Vac Sci Technol B 1998;16:3142–9. [17] Laibinis PE, Hickman JJ, Wrighton MS, Whitesides GM. Orthogonal self assembled monolayers: alkanethiol on gold and alkane carboxylic acid on alumina. Science 1989;245:845–7. [18] Biebyuck HA, Bain CD, Whitesides GM. Comparison of organic monolayers on polycrystalline gold spontaneously assembled from solutions containing dialkyl disulfides or alkanethiols. Langmuir 1994;10:1825–31. [19] Xia Y, Whitesides GM. Use of controlled reactive spreading of liquid alkanethiol on the surface of gold to modify the size of features produced by microprinting. J Am Chem Soc 1995;117:3274–5. [20] Piner RD, Zhu J, Xu F, Hong H, Mirkin CA. Dip-Pen nanolithography. Science 1999;283:661–3. [21] Ginger DS, Zhang H, Mirkin CA. The evolution of dip-pen lithography. Angew Chem Int Ed 2004;43:30–45. [22] Lee KB, Park SJ, Mirkin CA, Smith JC, Mrksich M. Protein nanoarrays generated by dip-pen nanolithography. Science 2002;295:1702–5. [23] Bain CD, Whitesides GM. Molecular-level control over surface order in selfassembled monolayer films of thiols. Science 1988;240:62–3. [24] Israelachvili JN, Mitchel DJ, Ninham BW. Theory of self-assembly of hydrocarbon amphiphiles into micelles and bilayers. J Chem Soc Faraday Trans 1976;2(72):1525–68. [25] Antonietti M, Forster S. Vesicles and liposomes. A self-assembly principle beyond lipids. Adv Mater 2003;15:1323–33. [26] Bates FS. Polymer-polymer phase behavior. Science 1991;251:898–905. [27] Boal AK, Ilhan F, Derouchey JE, Thun-Albretch T, Russell TP, Rotello MV. Self-assembly of nanoparticles into structured spherical and network aggregates. Nature 2000;404:746–8. [28] Chen Q, Bae SC, Granick S. Directed self-assembly of a colloidal kagome lattice. Nature 2011;469:381–5. [29] Vörös J, BlättlerT. Textor M. Bioactive patterns at the 100-nm scale produced using multifunctional physisorbed monolayers. MRS Bull 2005;30:202–6. [30] Park M, Harrison C, Chaikin PM, Register RA, Adamson DH. Block copolymer lithography: periodic arrays of ∼1011 holes in one square centimeter. Science 1997;276:1401–4. [31] Huang E, Mansky P, Russell TP, Harrison C, Chaikin PM, Register RA, Hawker CJ, Mays J. Mixed lamellar films: evolution, commensurability effects and preferential defect formation. Macromolecules 2000;33:80–8. [32] Huang E, Pruzinsky S, Russell TP, Mays J, Hawker CJ. Neutrality conditions for block copolymer systems on random copolymer brush surfaces. Macromolecules 1999;32:5299–303. [33] Mansky P, Liu Y, Huang E, Russell TP, Hawker C. Controlling polymer surface interactions with random copolymer. Science 1997;275:1458–60. [34] Mansky P, Russell TP, Hawker CJ, Mays J, Cook DC, Satija K. Interfacial segregation in disordered block copolymers: effect of tunable surface potentials. Phys Rev Lett 1997;79:237–40. [35] Mansky P, Russell TP, Hawker CJ, Pitsikalis M, Mays J. Ordered diblock copolymer films on random copolymer brushes. Macromolecules 1997;30:6810–3. [36] Bang J, Jeong U, Ryu DY, Russell TP, Hawker CJ. Block copolymer nanolithography: translation of molecular level control to nanoscale patterns. Adv Mater 2009;21:4769–92. [37] Bates FS, Fredrickson GH. Block copolymer thermodynamics: theory and experiment. Annu Rev Phys Chem 1990;41:525–57. [38] Hamley IW. The Physics of Block Copolymer. New York: Oxford University; 1998. p. 25–74. [39] Bates FS. Network phases in block copolymer melts. MRS Bull 2005;30:525–32. [40] Park C, Yoon J, Thomas EL. Enabling nanotechnology with self-assembled block copolymer patterns. Polymer 2003;44:6725–60. [41] Fogg DE, Radzilowski LH, Balnski R, Schrock RR, Thomas EL. Fabrication of quantum dot]/[polymer composites: phosphine-functionalized block

66

[42] [43]

[44]

[45]

[46] [47] [48] [49]

[50] [51]

[52] [53] [54]

[55]

[56]

[57] [58]

[59] [60] [61] [62] [63] [64] [65] [66]

[67] [68] [69] [70]

[71]

[72]

[73]

[74]

[75]

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68 copolymers as passivating hosts for cadmium selenide nanoclusters. Macromolecules 1997;30:417–26. Forster S, Antonietti M. Amphiphilic block copolymers in structure-controlled nanomaterial hybrids. Adv Mater 1998;10:195–217. Lipic PM, Bates FS, Hillmyer MA. Nanostructured thermosets from selfassembled amphiphilic block copolymer]/[epoxy resin mixtures. J Am Chem Soc 1999;120:8963–70. Bita I, Yang JKW, Jung YS, Ross CA, Thomas EL, Berggren KK. Graphoepitaxy of self-assembled block copolymers on two-dimensional periodic patterned templates. Science 2008;321:939–43. Lodge TP, Hamersky MW, Janley WH, Huang CI. Solvent distribution in weakly-ordered block copolymer solutions. Macromolecules 1997;30:6139–49. Hanley KJ, Lodge TP, Huang CI. Phase behavior of a block copolymer in solvents of varying selectivity. Macromolecules 2000;33:5918–31. Leibler L. Theory of microphase separation in block copolymers. Macromolecules 1980;13:1602–17. Matsen MW, Bates FS. Unifying weak-and strong-segregation block copolymer theories. Macromolecules 1996;29:1091–8. Cochran EW, Garica-Cervera CJ, Fredrickson GH. Stability of the gyroid phase in diblock copolymers at strong segregation. Macromolecules 2006;39:2449–51. Kim JK, Lee JI, Lee DH. Self-assembled block copolymers: bulk to thin film. Macromol Res 2008;16:267–92. Park S, Lee DH, Xu J, Kim B, Hong SW, Jeong U, et al. Macroscopic 10-terabit–per–square-inch arrays from block copolymers with lateral order. Science 2009;323:1030–3. Hamley IW. Ordering in thin films of block copolymers: fundamentals to potential applications. Prog Polym Sci 2009;34:1161–210. Matsen MW. Thin films of block copolymer. J Chem Phys 1997;106:7781–91. Lee B, Park I, Yoon J, Park S, Kim J, Kim KW, et al. Structural analysis of block copolymer thin films with grazing incidence small-angle X-ray scattering. Macromolecules 2005;38:4311–23. Park I, Lee B, Ryu J, Im K, Ree M, Chang T. Epitaxial phase transition of polystyrene-b-polyisoprene from hexagonally perforated layer to gyroid phase in thin film. Macromolecules 2005;38:10532–6. Park HW, Im K, Chung B, Ree M, Chang T, Sawa K, et al. Direct observation of HPL and DG structure in PS-b-PI thin film by transmission electron microscopy. Macromolecules 2007;40:2603–5. Park HW, Jung J, Chang T. New characterization methods for block copolymers and their phase behaviors. Macromol Res 2009;17:365–77. Lyakhova KS, Sevink GJA, Zvelindovsky AV, Horvat A, Agerle R. Role of dissimilar interfaces in thin films of cylinder-forming block copolymers. J Chem Phys 2004;120:1127–37. Fasolka MJ, Mayes AM. Block copolymer thin films: physics and applications. Annu Rev Mater Res 2001;31:323–55. Turner MS. Equilibrium properties of a diblock copolymer lamellar phase confined between flat plates. Phys Rev Lett 1992;69:1788–91. Walton DG, Kellogg GJ, Mayes AM, Lambooy P, Russell TP. A free energy model for confined diblock copolymers. Macromolecules 1994;27:6225–8. Tang WH, Witten TA. Quenched degrees of freedom in symmetric diblock copolymer thin films. Macromolecules 1998;31:3130–5. Kikuchi M, Binder K. Dimer and trimer formation in dense gaseous argon: a MD study. J Chem Phys 1997;101:3367–70. Tang WH. Confinement of symmetric diblock copolymer thin films. Macromolecules 2000;33:1370–84. Kikuchi M, Binder K. Monte carlo study of thin films of the symmetric diblock-copolymer melt. Euro Phys Lett 1993;21:427–32. Smith AP, Douglas JF, Meredith JC, Amis EJ, Karim A. Combinatorial study of surface pattern formation in thin block copolymer films. Phys Rev Lett 2001;87(015503):1–4. Ausserré D, Chatenay D, Coulon G, Collin B. Growth of two dimensional domains in copolymer thin films. J Phys 1990;51:2571–80. Coulon G, Ausserre D, Russell TP. Interference microscopy on thin diblock copolymer films. J Phys 1990;51:777–86. Joly S, Raquois A, Paris F, Hamdoun B, Auvray L, Ausserre D, et al. Early stage of spinodal decomposition in 2D. Phys Rev Lett 1996;77:4394–7. Niihara KI, Sugimori H, Matsuwaki U, Hirato F, Morita H, Doi M, et al. A transition from cylindrical to spherical morphology in diblock copolymer thin films. Macromolecules 2008;41:9318–25. Knoll A, Horvat A, Lyakhova KS, Krausch G, Sevink GJA, Zvelindovsky AV, et al. Phase behavior in thin films of cylinder-forming block copolymers. Phys Rev Lett 2002;89(035501):1–4. Kellogg GJ, Walton DG, Mayes AM, Lambooy P, Russell TP, Gallagher PD, et al. Observed surface energy effects in confined diblock copolymers. Phys Rev Lett 1996;76:2503–6. Han E, Stuen KO, Leolukman M, Liu CC, Nealey PF, Gopalan P. Perpendicular orientation of domains in cylinder-forming block copolymer thick films by controlled interfacial interactions. Macromolecules 2009;42: 4896–901. Albert JNL, Baney MJ, Stafford CM, Kelly JY. Epps III TH. Generation of monolayer gradients in surface energy and surface chemistry for block copolymer thin film studies. ACS Nano 2009;3:3977–86. Lovinger AJ, Chua JO, Gryte CC. An apparatus for in situ microscopy of zone solidifying polymers. J Phys E 1976;9:927–9.

[76] Mita K, Takenaka M, Hasegawa H, Hashimoto T. Cylindrical domains of block copolymers developed via ordering under moving temperature gradient: real- space analysis. Macromolecules 2008;41:8789–99. [77] Singh G, Yager KG, Berry B, Kim HC, Karim A. Dynamic thermal field induced gradient soft-shear for highly oriented block copolymer thin films. ACS Nano 2012;6:10335–42. [78] Majewski PW, Yager KG. Millisecond ordering of block copolymer films via photothermal gradients. ACS Nano 2015;9:3896–906. [79] Gu W, Hong SW, Russell TP. Orienting block copolymer microdomains with block copolymer brushes. ACS Nano 2012;6:10250–7. [80] Peters RD, Yang XM, Kim TK, Nealey PF. Wetting behavior of block copolymers on self-assembled films of alkylchlorosiloxanes: effect of grafting density. Langmuir 2000;16:9620–6. [81] Peters RD, Yang XM, Kim TK, Sohn BH, Nealey PF. Using self-assembled monolayers exposed to X-rays to control the wetting behavior of thin films of diblock copolymers. Langmuir 2000;16:4625–31. [82] Han E, In I, Park SM, La YH, Wang Y, Nealey PF, et al. Photopatternable imaging layers for controlling block copolymer microdomain orientation. Adv Mater 2007;19:4448–52. [83] Suh HS, Kang H, Liu CC, Nealey PF, Char K. Orientation of block copolymer resists on interlayer dielectrics with tunable surface energy. Macromolecules 2010;43:461–6. [84] Huang E, Rockford L, Russell TP, Hawker CJ. Nanodomain control in copolymer thin films. Nature 1998;395:757–8. [85] Huang E, Russell TP, Harrison C, Chaikin PM, Register RA, Hawker CJ, et al. Using surface active random copolymers to control the domain orientation in diblock copolymer thin films. Macromolecules 1998;31:7641–50. [86] Ryu DY, Shin K, Drockenmuller E, Hawker CJ, Russell TP. A generalized approach to the modification of solid surfaces. Science 2005;308:236–9. [87] Shengxiang J, Guoliang L, Zheng F, Craig GSW, Himpsel FJ, Nealey PF. Preparation of neutral wetting brushes for block copolymer films from homopolymer blends. Adv Mater 2008;20:3054–60. [88] She MS, Lo TY, Ho RM. Long-range ordering of block copolymer cylinders driven by combining thermal annealing and substrate functionalization. ACS Nano 2013;7:2000–11. [89] Hajduk DA, Ho RM, Hillmyer MA, Bates FS, Almdal K. Transition mechanisms for complex ordered phases in block copolymer melts. J Phys Chem B 1998;102:1356–63. [90] Forster S, Khandpur AK, Zhao J, Bates FS, Hamley IW, Ryan AJ, et al. Complex phase behavior of polyisoprene-polystyrene diblock copolymers near the order-disorder transition. Macromolecules 1994;27:6922–35. [91] Wang CY, Lodge TP. Kinetics and mechanisms for the cylinder-to-gyroid transition in a block copolymer solution. Macromolecules 2002;35:6997–7006. [92] Wang CY, Lodge TP. Unexpected intermediate state for the cylinder-togyroid transition in a block copolymer solution. Macromol Rapid Commun 2002;23:49–54. [93] Kim G, Libera M. Morphological development in solvent-cast polystyrenepolybutadiene- polystyrene (SBS) triblock copolymer thin films. Macromolecules 1998;31:2569–77. [94] Zhang Q, Tsui OKC, Du B, Zhang F, Tang T, He T. Observation of inverted phases in poly(styrene-b-butadiene-b-styrene) triblock copolymer by solvent-induced order-disorder phase transition. Macromolecules 2000;33:9561–7. [95] Lin Z, Kim DH, Wu X, Boosahda L, Stone D, LaRose L, et al. A rapid route to arrays of nanostructures in thin films. Adv Mater 2002;14:1373–6. [96] Ho RM, Tseng WH, Fan HW, Chiang YW, Lin CC, Ko BT, et al. Solvent-induced microdomain orientation in polystyrene-b-poly(L-lactide) diblock copolymer thin films for nanopatterning. Polymer 2005;46:9362–77. [97] Kim SH, Misner MJ, Xu T, Kimura M, Kim SH, Russell TP. Highly oriented and ordered arrays from block copolymers via solvent evaporation. Adv Mater 2004;16:226–31. [98] Knoll A, Magerle R, Krausch GJ. Phase behavior in thin films of cylinderforming ABA block copolymers: experiments. J Chem Phys 2004;120:1105–16. [99] Cavicchi KA, Russell TP. Solvent annealed thin films of asymmetric polyisoprene-polylactide diblock copolymers. Macromolecules 2007;40:1181–6. [100] Paik MY, Bosworth JK, Smilges DM, Schwartz EL, Andre X, Ober CK. Reversible morphology control in block copolymer films via solvent vapor processing: an in situ GISAXS study. Macromolecules 2010;43:4253–60. [101] Gotrik KW, Hannon AF, Son JG, Keller B, Alexander-Katz A, Ross CA. Morphology control in block copolymer films using mixed solvent vapors. ACS Nano 2012;6:8052–9. [102] Albert JNL, Young WS, Lewis RL, Bogart TD, Smith JR, Epps III TH. Systematic study on the effect of solvent removal rate on the morphology of solvent vapor annealed ABA triblock copolymer thin films. ACS Nano 2012;6:459–66. [103] She MS, Lo TY, Ho RM. Controlled ordering of block copolymer gyroid thin films by solvent annealing. Macromolecules 2014;47:175–82. [104] Wu YH, Lo TY, She MS, Ho RM. Morphological evolution of gyroid-forming block copolymer thin films with varying solvent evaporation rate. ACS Appl Mater Interfaces 2015;7:16536–47. [105] Zocher H, Coper K. Über die Erzeugung der Anisotropie von Oberflächen: (On the production of the anisotropy of surfaces). Z Phys Chem 1928;132:295–302.

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68 [106] Smith HI, Flanders DC. Oriented crystal growth on amorphous substrates using artificial surface-relief gratings. Appl Phys Lett 1978;32:349–50. [107] Geis MW, Flanders DC, Smith HI. Crystallographic orientation of silicon on an amorphous substrate using an artificial surface-relief grating and laser crystallization. Appl Phys Lett 1979;35:71–4. [108] Smith HI, Geis MW, Thompson CV, Atwater HA. Silicon-on-insulator by graphoepitaxy and zone-melting recrystallization of patterned films. J Cryst Growth 1983;63:527–46. [109] Segalman RA. Directing self-assembly toward perfection. Science 2008;321:919–20. [110] Segalman RA, Hexemer A, Kramer EJ. Effects of lateral confinement on order in spherical domain block copolymer thin films. Macromolecules 2003;36:6831–9. [111] Segalman RA, Yokoyama H, Kramer EJ. Graphoepitaxy of spherical domain block copolymer films. Adv Mater 2001;13:1152–5. [112] Cheng JY, Mayes AM, Ross CA. Nanostructure engineering by template self-assembly of block copolymers. Nat Mater 2004;3:823–8. [113] Zheng Q, Lee DC, Yu L, Sibener SJ. Structural evolution and alignment of cylinder-forming PS-b-PEP thin films in confinement studied by time-lapse atomic force microscopy. Mater Res Soc Symp Proc 2005;854E(U11.17):1–4. [114] Xiang H, Shin K, Kim T, Moon SI, McCarthy TJ, Russell TP. Block copolymers under cylindrical confinement. Macromolecules 2004;37:5660–4. [115] Rockford L, Liu Y, Mansky P, Russell TP, Yoon M, Mochrie SGJ. Polymers on nanoperiodic, heterogeneous surfaces. Phys Rev Lett 1999;82:2602–5. [116] Yang XM, Peters RD, Kim TK, Nealey PF. Patterning of self-assembled monolayers with lateral dimensions of 0.15 ␮m using advanced lithography. J Vac Sci Technol B 1999;17:3203–7. [117] Yang XM, Peters RD, Nealey PF, Solak HH, Cerrina F. Guided self-assembly of symmetric diblock copolymers films on chemically nanopatterned substrates. Macromolecules 2000;33:9575–82. [118] Kim SO, Solak HH, Stoykovich MP, Ferrier NJ, de Pablo JJ, Nealey PF. Epitaxial self-assembly of block copolymers on lithographically defined nanopatterned substrates. Nature 2003;424:411–4. [119] Stoykovich MP, Kang H, Daoulas KC, Liu G, Liu CC, de Pablo JJ, et al. Directed self-assembly of block copolymers for nanolithography: fabrication of isolated features and essential integrated circuit geometries. ACS Nano 2007;1:168–75. [120] Ruiz R, Kang H, Detcheverry FA, Dobisz E, Kercher DS, Albrecht TR, et al. Density multiplication and improved lithography by directed block copolymer assembly. Science 2008;321:936–9. [121] Jung YS, Ross CA. Orientation-controlled self-assembled nanolithography using a polystyrene-polydimethylsiloxane block copolymer. Nano Lett 2007;7:2046–50. [122] Chou SY, Krauss PR, Zhang W, Guo LJ, Zhuang L. Sub-10 nm imprint lithography and applications. J Vac Sci Technol B 1997;15:2897–904. [123] Vega DA, Harrison CK, Angelescu DE, Trawick ML, Huse DA, Chaikin PM, et al. Ordering mechanisms in two-dimensional sphere-forming block copolymers. Phys Rev E 2005;71(061803):1–12. [124] Cheng JY, Ross CA, Chan VZH, Thomas EL, Lammertink RGH, Vancso GJ. Formation of a cobalt magnetic dot array via block copolymer lithography. Adv Mater 2001;13:1174–8. [125] Bang J, Kim SH, Drockenmuller E, Misner MJ, Russell TP, Hawker CJ. Defect-free nanoporous thin films from ABC triblock copolymers. J Am Chem Soc 2006;128:7622–9. [126] Tu KH, Bai W, Liontos G, Ntetsikas K, Avgeropoulos A, Rosa CA. Universal pattern transfer methods for metal nanostructures by block copolymer lithography. Nanotechnology 2015;26(375301):1–13. [127] Sinturel C, Bates FS, Hillmyer MA. High ␹–low N block polymers: how far can we go? ACS Macro Lett 2015;4:1044–50. [128] Chan VZH, Hoffman J, Lee VY, Iatrou H, Avgeropoulos A, Hadjichristidis N, et al. Ordered bicontinuous nanoporous and nanorelief ceramic films from self-assembling polymer precursors. Science 1999;286:1716–9. [129] d’Agostino R. Plasma Deposition, Treatment, and Etching of Polymers. New York: Academic Press; 1990. p. 92–240. [130] Manos DM, Flamm DL. Plasma etching: an introduction. New York: Academic Press; 1988. p. 329–33. [131] van Roosmalen AJ, Baggerman JAG, Brader SJH. Dry etching for VSLI. New York: Plenum; 1991. p. 5–12. [132] Flamm DL, Donnelly VM. The design of plasma etchants. Plasma Chem Plasma Proc 1981;1:317–63. [133] Chen IJ, Lindner E. The stability of radio-frequency plasma-treated polydimethylsiloxane surfaces. Langmuir 2007;23:3118–22. [134] Chou NJ, Tang CH, Paraszczak J, Babich E. Mechanism of oxygen plasma etching of polydimethyl siloxane films. Appl Phys Lett 1985;46:31–3. [135] Chao CC, Ho RM, Georgopanos P, Ageropoulos A, Thomas EL. Silicon oxy carbide nanorings from polystyrene-b-polydimethylsiloxane diblock copolymer thin films. Soft Matter 2010;6:3582–7. [136] Coburn JW, Kay E. Some chemical aspects of the fluorocarbon plasma etching of silicon and its compounds. IBM J Res Dev 1979;23:33–41. [137] Strobel M, Corn S, Lyons CS, Korba GA. Plasma fluorination of polyolefins. J Polym Sci Part A Polym Chem 1987;25:1295–307. [138] Strobel M, Thomas PA, Lyons CS. Plasma fluorination of polystyrene. J Polym Sci Part A Polym Chem 1987;25:3343–8. [139] Mogab CJ, Adams AC, Flamm DL. Plasma etching of Si and SiO2—the effect of oxygen additions to CF4 plasmas. J Appl Phys 1978;49:3796–803.

67

[140] Donnelly VM, Flamm DL, Dautremont-Smith WC, Werder DJ. Anisotropic etching of SiO2 in low-frequency CF4]/[O2 and NF3]/[Ar plasmas. J Appl Phys 1984;55:242–52. [141] Egitto FD, Matienzo LJ, Schreyer HB. Reactive ion etching of poly(tetrafluoroethylene) in O2–CF4 plasmas. J Vac Sci Technol A 1992;10:3060–4. [142] Tegou E, Bellas V, Gogolides E, Argitis P, Eon D, Cartry G, et al. Polyhedral oligomeric silsesquioxane (POSS) based resists: material design challenges and lithographic evaluation at 157 nm. Chem Mater 2004;16:2567–77. [143] Lammertink RGH, Hempenius MA, Chan VZH, Thomas EL, Vancso GJ. Poly(ferrocenyldimethylsilanes) for reactive ion etch barrier applications. Chem Mater 2001;13:429–34. [144] Li G, Wang L, Ni H, Pittman Jr CU. Polyhedral oligomeric silsesquioxane (POSS) polymers and copolymers: a review. J Inorg Organomet Polym 2001;11:123–54. [145] Li G, Pittman Jr CU. Polyhedral oligomeric silsesquioxane (POSS) polymers, copolymers and resin nanocomposites. In: Abe-El-Aziz AS, Carraher Jr CE, Pittman Jr CU, Zeldin M, editors. Macromolecules containing metal and metal-like elements, vol 4. Hoboken, NJ: A John Wiley & Sons; 2005. p. 79–132. [146] Hirai T, Leolukman M, Hayakawa T, Kakimoto M, Gopalan P. Hierarchical nanostructures of organosilicate nanosheets within self-organized block copolymer films. Macromolecules 2008;41:4558–60. [147] Hirai T, Leolukman M, Jin S, Goseki R, Ishida Y, Kakimoto M, et al. Hierarchical self-assembled structures from POSS-containing block copolymers synthesized by living anionic polymerization. Macromolecules 2009;42:8835–43. [148] Hirai T, Leolukman M, Jin S, Liu CC, Han E, Kim YJ, et al. One-step direct-patterning template utilizing self-assembly of POSS-containing block copolymers. Adv Mater 2009;21:4334–8. [149] Kuo SW, Chang FC. POSS related polymer nanocomposites. Prog Polym Sci 2011;36:1649–96. [150] Tada Y, Yoshida H, Ishida Y, Hirai T, Bosworth JK, Dobisz E, et al. Directed self-assembly of POSS containing block copolymer on lithographically defined chemical template with morphology control by solvent vapor. Macromolecules 2012;45:292–304. [151] Zhang W, Huang MJ, Su H, Zhang SY, Yue K, Dong XH, et al. Toward controlled hierarchical heterogeneities in giant molecules with precisely arranged nano building blocks. ACS Cent Sci 2016;2:48–54. [152] Yu XF, Yue K, Hsieh IF, Lia YW, Donga XH, Liu C, et al. Giant surfactants provide a versatile platform for sub-10-nm nanostructure engineering. PNAS 2013;110:10078–83. [153] Dong XH, Ni B, Huang MJ, Hsu CH, Chen ZR, Lin ZW, et al. Chain overcrowding induced phase separation and hierarchical Structure formation in fluorinated polyhedral oligomeric silsesquioxane (FPOSS)-based giant surfactants. Macromolecules 2015;48:7172–9. [154] Foucher DA, Tang BZ, Manners I. Ring-opening polymerization of strained, ring-tilted ferrocenophanes: a route to high-molecular-weight poly(ferrocenylsilanes). J Am Chem Soc 1992;114:6246–8. [155] Rulkens R, Perry R, Lough AJ, Manners I, Lovelace SR, Grant C, et al. Linear oligo (ferrocenyldimethylsilanes) with between two and nine ferrocene units: electrochemical and structural models for poly(ferrocenylsilane) high polymers. J Am Chem Soc 1996;118:12683–95. [156] Kulbaba K, Manners I. Polyferrocenylsilanes metal-containing polymers for materials science, self-assembly and nanostructure applications. Macromol Rapid Commun 2001;22:711–24. [157] Manners I. Putting metals into polymers. Science 2001;294:1664–6. [158] Manners I. Synthetic Metal-Containing Polymers. Weinheim: Wiley; 2004. p. 16–21. [159] Lammertink RGH, Hempenius MA, Thomas EL, Vansco JG. Periodic organic–organometallic microdomain structures in poly(styrene-blockferrocenyldimethylsilane) copolymers and blends with corresponding homopolymers. J Polym Sci Part B Polym Phys 1999;37:1009–21. [160] Eitouni HB, Balsara NP, Hahn H, Pople JA, Hempenius MA. Thermodynamic interactions in organometallic block copolymers: poly(styreneblockferrocenyldimethylsilane). Macromolecules 2002;35:7765–72. [161] Chuang VP, Cheng JY, Savas TA, Ross CA. Three-dimensional self-assembly of spherical block copolymer domains into V-shaped grooves. Nano Lett 2006;6:2332–7. [162] Chuang VP, Gwyther J, Mickiewicz RA, Manners I, Ross CA. Templated self-assembly of square symmetry arrays from an ABC triblock terpolymer. Nano Lett 2009;9:4364–9. [163] Son JG, Gwyther J, Chang JB, Berggren KK, Manners I, Ross CA. Highly ordered square arrays from a templated ABC triblock terpolymer. Nano Lett 2011;11:2849–55. [164] Jeong JW, Park WI, Kim MJ, Ross CA, Jung YS. Highly tunable selfassembled nanostructures from a poly(2-vinylpyridine-b-dimethylsiloxane) block copolymer. Nano Lett 2011;11:4095–101. [165] Cushen JD, Bates CM, Rausch EL, Dean LM, Zhou SX, Willson CG, et al. Thin film self-assembly of poly(trimethylsilylstyrene-b-d, l-lactide) with sub-10 nm domains. Macromolecules 2012;45:8722–8. [166] Cochran EW, Morse DC, Bates FS. Design of ABC triblock copolymers near the ODT with the random phase approximation. Macromolecules 2003;36:782–92.

68

T.-Y. Lo et al. / Progress in Polymer Science 77 (2018) 19–68

[167] Kennemur JG, Yao L, Bates FS, Hillmyer MA. Sub-5 nm domains in ordered Poly(cyclohexylethylene)-block-poly(methyl methacrylate) block polymers for lithography. Macromolecules 2014;47:1411–8. [168] Pitet LM, Wuister SF, Peeters E, Kramer EJ, Hawker CJ, Meijer EW. Well organized dense arrays of nanodomains in thin films of poly(dimethylsiloxane)-b-poly(lactide) diblock copolymers. Macromolecules 2013;46:8289–95. [169] Zhang S, Hou Z, Gonsalves K. Copolymer synthesis of poly(L-lactide-bDMS-L-lactide) via the ring opening polymerization of L-lactide in the presence of ␣, ␻-hydroxylpropyl-terminated PDMS macroinitiator. J Polym Sci Part A Polym Chem 1996;34:2737–42. [170] Rodwogin MD, Spanjers CS, Leighton C, Hillmyer MA. Polylactidepoly(dimethylsiloxane)- polylactide triblock copolymers as multifunctional materials for nanolithographic applications. ACS Nano 2010;4:725–32. [171] Fukukawa K, Zhu L, Gopalan P, Ueda M, Yang S. Synthesis and characterization of silicon-containing block copolymers from nitroxide-mediated living free radical polymerization. Macromolecules 2005;38:263–70. [172] Giammaria TJ, Lupi FF, Seguini G, Perego M, Vita F, Francescangeli O, et al. Micrometer-scale ordering of silicon-containing block copolymer thin films via high-temperature thermal treatments. ACS Appl Mater Interfaces 2016;8:9897–908. [173] Hamley IW, Fairclough JPA, Ryan AJ, Ryu CY, Lodge TP, Gleeson AJ, et al. Micellar ordering in concentrated solutions of di-and triblock copolymers in a slightly selective solvent. Macromolecules 1998;31:1188–96. [174] Lo TY, Chao CC, Ho RM, Georgopanos P, Avgeropoulos A, Thomas EL. Phase transitions of polystyrene-b-poly(dimethylsiloxane) in solvents of varying selectivity. Macromolecules 2013;46:7513–24. [175] Lo TY, Chao CC, Ho RM, Georgopanos P, Avgeropoulos A, Hashimoto T. Direct visualization of order–order transitions in silicon–containing block copolymers by electron tomography. ACS Macro Lett 2013;2:190–4. [176] Shengxiang J, Liu CC, Son JG, Gotrik K, Craig GSW, Gopalan P, et al. Generalization of the use of random copolymers to control the wetting behavior of block copolymer films. Macromolecules 2008;41:9098–103. [177] Ross CA, Jung YS, Chuang VP, Ilievski F, Yang JKW, Bita I, et al. Si-containing block copolymers for self-assembled nanolithography. J Vac Sci Technol B 2008;26:2489–94. [178] Andersen TH, Tougaard S, Larsen NB, Almdal K, Johannsen I. Surface morphology of PS–PDMS diblock copolymer films. J Electron Spectrosc Relat Phenom 2001;121:93–110. [179] Kim EJ, Kim WJ, Lee KH, Ross CA, Son JG. A top coat with solvent annealing enables perpendicular orientation of sub-10 nm microdomains in Sicontaining block copolymer thin films. Adv Funct Mater 2014;24:6981–8. [180] Zhang J, Clark MB, Wu C, Li M, Trefonas III P, Hustad PD. Orientation control in thin films of a high-␹ block copolymer with a surface active embedded neutral layer. Nano Lett 2016;16:728–35. [181] Seshimo T, Maeda R, Odashima R, Takenaka Y, Kawana D, Ohmori K, et al. Perpendicularly oriented sub-10-nm block copolymer lamellae by atmospheric thermal annealing for one minute. Sci Rep 2016;6(19481):1–8. [182] Matsen MW, Thompson RB. Equilibrium behavior of symmetric ABA triblock copolymer melts. J Chem Phys 1999;111:7139–46. [183] Mayes AM, Olvera CM. Microphase separation in multiblock copolymer melts. J Chem Phys 1989;91:7228–35. [184] Khanna V, Cochran EW, Hexemer A, Stein GE, Fredrickson GH, Kramer EJ, et al. Effect of chain architecture and surface energies on the ordering behavior of lamellar and cylinder forming block copolymers. Macromolecules 2006;39:9346–56. [185] Matsen MW. Architectural effect on the surface tension of an ABA triblock Copolymer Melt. Macromolecules 2010;43:1671–4. [186] Lo TY, Dehghan A, Georgopanos P, Avgeropoulos A, Shi AC, Ho RM. Orienting block copolymer thin films via entropy. Macromolecules 2016;49:624–33. [187] Lu KY, Lo TY, Georgopanos P, Avgeropoulos A, Shi AC, Ho RM. Orienting Silicon-Containing Block Copolymer Films with Perpendicular Cylinders via Entropy and Surface Plasma Treatment; 2017. Unpublished. [188] Zhao Y, Sivaniah E, Hashimoto T. SAXS analysis of order-disorder transition and the interaction parameter of polystyrene-block-poly(methyl methacrylate). Macromolecules 2008;41:9948–51. [189] Durand WJ, Blachut G, Maher MJ, Sirard S, Tein S, Carlson MC, et al. Design of high-␹ block copolymers for lithography. J Polym Sci Part A Polym Chem 2015;53:344–52. [190] Jung YS, Ross CA. Solvent-vapor-induced tenability of self-assembled block copolymer patterns. Adv Mater 2009;21:2540–54.

[191] Jung YS, Chang JB, Verploegen E, Berggren KK, Ross CA. A path to ultranarrow patterns using self-assembled lithography. Nano Lett 2010;10:1000–5. [192] Park WI, Choi YJ, Yun M, Hong SW, Jung YS, Kim KH. Enhancing directed self-assembly kinetics of block copolymers using binary solvent mixtures. ACS Appl Mater Interfaces 2015;7:25843–50. [193] Gu W, Xu J, Kim JK, Hong SW, Wei X, Yang X, et al. Solvent-assisted directed self-assembly of spherical microdomain block copolymers to high areal density arrays. Adv Mater 2013;25:3677–8. [194] Bai W, Gadelrab K, Alexander-Katz A, Ross CA. Perpendicular block copolymer microdomain in high aspect ratio templates. Nano Lett 2015;15:6901–8. [195] Yank JKW, Jung YS, Chang JB, Mickiewicz RA, Alexander-Katz A, Ross CA, et al. Complex self-assembled patterns using sparse commensurate templates with locally varying motifs. Nat Nanotechnol 2010;5:256–60. [196] Tavakkoli KG, Gotrik KW, Hannon AF, Alexander-Katz A, Ross CA, Berggren KK. Templating Three-dimensional self-assembled structures in bilayer block copolymer films. Science 2012;336:1294–8. [197] Li W, Qiu F, Yang Y, Shi AC. Ordering dynamics of directed self-assembly of block copolymers in periodic two dimensional fields. Macromolecules 2010;43:1644–50. [198] Li WH, Xie N, Qiu F, Yang YL, Shi AC. Ordering kinetics of block copolymers directed by periodic two-dimensional rectangular fields. J Chem Phys 2011;134:144901–8. [199] Hashimoto T, Sakamoto N. Nucleation and anisotropic growth of lamellar microdomains in block copolymers. Macromolecules 1995;28:4779–81. [200] Hashimoto T, Sakamoto N, Koga T. Nucleation and growth of anisotropic grain in block copolymers near order-disorder transition. Phy Rev E 1996;54:5832–5. [201] Vega DA, Gómez LR. Spinodal-assisted nucleation during symmetry breaking phase transitions. Phys Rev E 2009;79(051607):1–6. [202] Pezzutti AD, Vega DA. Defect dynamics in crystalline buckled membranes. Phys Rev E 2011;84(011123):1–6. [203] Xie N, Li WH, Qiu F, Shi AC. New strategy of nanolithography via controlled block copolymer self-assembly. Soft Matter 2013;9:536–42. [204] Deng H, Xie N, Li W, Qiu F, Shi AC. Perfectly ordered patterns via corner induced heterogenous nucleation of self-assembling block copolymers confined in hexagonal potential wells. Macromolecules 2015;48:4174–82. [205] Krishnan MR, Chiu WY, Chen IC, Lin JW, Lu KY, Lo TY, Georgopanos P, Avgeropoulos A, Lee MC, Ho RM, Directed self-assembly of star-block copolymers by topographic nanopatterns through nucleation and growth mechanism. Unpublished. [206] Jung YS, Lee JH, Lee JY, Ross CA. Fabrication of diverse metallic nanowire arrays based on block copolymer self-assembly. Nano Lett 2010;10:3722–6. [207] Jung YS, Jung WC, Tuller HL, Ross CA. Nanowire conductive polymer gas sensor patterned using self-assembled block copolymer lithography. Nano Lett 2008;8:3776–80. [208] Hannon AF, Gotrik KW. Ross Alexander-Katz A, Ross CA. Inverse design of topographical templates for directed self-assembly of block copolymers. ACS Macro Lett 2014;2:251–5. [209] Hannon AF, Ding Y, Bai W, Ross CA, Alexander-Katz A. Optimizing topographical templates for directed self-assembly of block copolymers via inverse design simulations. Nano Lett 2014;14:318–25. [210] Lo TY, Krishnan MR, Lin JW, Lu KY, Georgopanos P, Avgeropoulos A, Ho RM, 3D nanopatterning through sequential self-assembly of single-composition silicon containing block copolymers. Unpublished. [211] Chao CC, Wang TC, Ho RM, Avgeropoulos GP, Thomas EL. Robust block copolymer mask for nanopatterning polymer films. ACS Nano 2010;4:2088–94. [212] Yang SY, Ryu I, Kim HY, Kim JK, Jang SK, Russell TP. Nanoporous membranes with ultrahigh selectivity and flux for the filtration of viruses. Adv Mater 2006;18:709–12. [213] Li L, Schulte L, Clausen LD, Hansen KM, Jonsson GE, Ndoni S. Gyroid Nanoporous membranes with tunable permeability. ACS Nano 2011;5:7754–66. [214] Ndoni S, Jannasch P, Larsen NB, Almdal K. Lubricating Effect of Thin films of styrene-dimethylsiloxane block copolymers. Langmuir 1999;15:3859–65. [215] Demirel GB, Buyukserin F, Morris MA, Demirel G. Nanoporous polymeric nanofibers based on selectively etched PS-b-PDMS block copolymers. ACS Appl Mater Interfaces 2012;4:280–5.