Ultramicroscopy 158 (2015) 8–16
Contents lists available at ScienceDirect
Ultramicroscopy journal homepage: www.elsevier.com/locate/ultramic
Atomic force microscope caliper for critical dimension measurements of micro and nanostructures through sidewall scanning Hui Xie a,n, Danish Hussain a, Feng Yang a, Lining Sun a,b a b
State Key Laboratory of Robotics and Systems, Harbin Institute of Technology, 2 Yikuang, C1-507, HIT Science Park, 150080 Harbin, China Robotics and Microsystems Center, Soochow University, 215021 Suzhou, China
art ic l e i nf o
a b s t r a c t
Article history: Received 22 November 2014 Received in revised form 29 May 2015 Accepted 9 June 2015 Available online 17 June 2015
A novel atomic force microscope (AFM) dual-probe caliper for critical dimension (CD) metrology has been developed. The caliper is equipped with two facing tilted optical fiber probes (OFPs) wherein each can be used independently to scan either sidewall of micro and nanostructures. The OFP tip with length up to 500 μm (aspect ratio 10:1, apex diameter ⩾10 nm ) has unique features of scanning deep trenches and imaging sidewalls of relatively high steps with exclusive profiling possibilities. The caliper arms– OFPs can be accurately aligned with a well calibrated opening distance. The line width, line edge roughness, line width roughness, groove width and CD angles can be measured through serial scan of adjacent or opposite sidewalls with each probe. Capabilities of the presented AFM caliper have been validated through experimental CD measurement results of comb microstructures and AFM calibration grating TGZ3. & 2015 Elsevier B.V. All rights reserved.
Keywords: Atomic force microscope Dual probe Caliper Critical dimension Sidewall Micro and nanostructures
1. Introduction Critical dimensions (CDs) such as line width (LW), line width roughness (LWR), line edge roughness (LER) and CD angles have increasing impacts on performance of shrinking nano-devices, e.g. photonic devices [1,2], nanometer-scale electronics [3], plasmonics and nanophotonics [4], semiconductor fabrication processes with deep reactive ion etching (DRIE) [5] and focused ion beam (FIB) milling [6] result in extremely high-aspect-ratio structures [7]. Similarly, in the recently invented FinFET, tremendous effects of the gate surface roughness on the performance of the device have been observed [8]. The emerging next generation of nanodevices has overemphasized the need for more powerful metrology instruments. Photon-based microscopies have been traditional ways for CD metrology. Conventional optical microscopy has inherent optical diffraction limitation while scatterometry require priori sample surface information and rigorous modeling for data extraction [9,10]. Electron-based metrology instruments, including CD scanning electron microscopy (CD-SEM) [11] and transmission electron microscopy (CD-TEM) [12], are commonly used methods. However, applications of the CD-SEM and CD-TEM are limited by their inherent two-dimensional (2D) measurements. In addition, sample preparation for the CD-TEM is time consuming, destructive and n
Corresponding author. E-mail address:
[email protected] (H. Xie).
http://dx.doi.org/10.1016/j.ultramic.2015.06.007 0304-3991/& 2015 Elsevier B.V. All rights reserved.
costly. Soon after invention [13], atomic force microscope (AFM) has been regarded as an important techniques for CD metrology. The realization of CD-AFM is a breakthrough as it provides the three-dimensional (3D) topography of patterns with high spatial resolution, well suitable for CD metrology. Unfortunately, conventional AFM probe is aligned normal to the sample surface and cannot provide enough information on the sidewalls. To trace a solution to this problem, numerous CD-AFM techniques have been developed during last two decades. K. Murayama et al. developed tilt angle scanning method to image sidewall topography of fine patterns [14]. M. Watanabe et al. developed step-in mode with CNT probe for sidewall measurement [16]. CNT tips mounted on standard AFM silicon cantilever were also utilized for CD measurements [16,17]. With this technique the AFM can scan narrow patterns, but during CNT tip assembly, it is difficult to control the angle and length of the CNT. In addition, the CNT probe suffers from imaging instability in tapping mode [18]. In other investigations, probes with special tip geometries, e.g. flared tip [19], trident shaped probe [20], boot-shaped tip [21,22] and assembled probe [23] were used to scan steep sidewalls. Their application is limited to specialized measurements or provide low surface resolution due to comparatively big tip radius and a complex blind estimation would be required for accurate CD measurements [24,25]. M. Fouchier et al. proposed a technique by tilting the sample for sidewalls imaging [26,27]. This approach is suitable for sidewall scan with a complex calibration routine [28]. Recently, a three-dimensional (3D) AFM has been developed to scan undercut
H. Xie et al. / Ultramicroscopy 158 (2015) 8–16
9
and x–y–z NP I (75 × 75 × 75 μm travel range and 0.1 nm motion resolution). Image scan can be accomplished by OFP-L and OFP-R independently and coordinately driving both nanopositioning stages. An optical microscope (20 ) is used for coarse aligning the caliper, as well as locating the laser spot on each probe and desired pattern on the sample. An oscillation controller (Dual-OC4) is used to control the probe dynamics and a high-speed (2 MHz maximum sampling rate) data acquisition system is used to record data from the PSD. A multi-thread planning and control system has been developed for the feedback control on the y-axis and raster scan of the sidewalls on xoz plane. The control system allows programming of complex tasks of caliper alignment, image scan, data acquisition and processing.
sidewalls with nanometer precision [29]. It demonstrates great potential to CD metrology of the sidewall structures. To measure the linewidth of high aspect-ratio features a dual probe AFM caliper was proposed [30]. However, the study presents a conceptual prototype which lacks experimental validation of the caliper's performance. LW and LWR measurements have been challenging in semiconductor metrology. In SEM or TEM, these CDs are difficult to measure in top-down view whereby overhang or re-entrant sidewall features hinder visibility. Similarly, cross-section view cannot visualize nonlinear features along view-axis so that the sample needs to be cut into sections for complete feature characterization. On the other hand, conventional CD-AFM with a single probe cannot measure LWR and LW due to its inability to simultaneously scan both sidewalls. Although CD-AFM with flared tip has capability to scan both sidewalls, its applications are limited due to short and large blind areas. We report an dual-probe AFM caliper that is able to serially scan adjacent or opposite sidewalls without specimen rotation. The line width (roughness), line edge roughness and CD angle can be precisely measured by the accurately calibrated caliper. With two tilted OFPs of relatively long tips, the AFM caliper is more scalable and efficient than the conventional CD-AFMs to characterize sidewalls of micro and nanostructures.
2.2. Description of the optical fiber probe Optical fiber probes (OFPs) (Nanonics Imaging Ltd., stiffness: 3 N/m) are used in the proposed AFM caliper. Each OFP can be used for imaging surface topography with nanometric resolution. Force modulation and intermittent contact modes are available for a variety of surfaces and for a range of materials and structures. Fig. 2(a) shows an optical microscope image (20 ) of the OFP, which has a cantilever length of ∼1000 μm, a tip length of up to 500 μm and tip diameter ≥10 nm . Compared with standard silicon AFM probes (with a tip length of 10–20 μm), the OFP tip has unique features of scanning sidewalls (tens of micrometers). Its highaspect-ratio (10:1) tip provides distinctive profiling possibilities. Fig. 2(b) represents a frequency spectrum of the first bending mode of a typical OFP (the resonance frequency f0 = 63.351 kHz and quality factor Q¼202). The OFP has a comparable Q factor to that of the conventional silicon probe. The Q factor control is not mandatory for the normal-speed intermittent-contact-mode AFM imaging since sensitivity and response time are balanced. The OFP has potential to scan the sidewall without any alterations to the system, even at larger tilted angles, e.g. more than 47° with a tip length of 500 μm , a cantilever length of 1000 μm and a mounting angle of 8°.
2. System configuration and methods 2.1. Setup of the AFM Caliper The AFM caliper is developed on a home-built dual-probe AFM [31,32]. New probe holders were designed and each has a rotating lever that can be used to tilt the OFP at angles of 0–90°. Fig. 1 shows the schematic diagram of the caliper composed of two facing optical fiber probes (OFPs), namely Left OFP (OFP-L) and Right OFP (OFP-R). Each probe has an independent optical lever with a laser source and a PSD (PSD I and PSD II). OFP-L is mounted on a x– y–z micropositioning stage I (MP I) for coarse positioning. OFP-R is supported by x–y–z nanopositioning stage II (NP II; 10 × 10 × 10 μm of travel range and 0.1 nm of motion resolution) that is further assisted by x–y–z micropositioning stage II (MP II). NP II and MP II are used to drive OFP-R with nanoscale resolutions. Sample is placed on a set of two independent stages x–y–z MP III
2.3. AFM imaging with the optical fiber probe Fig. 3 shows the schematic and working principle of the OFP. Deep trenches can be scanned by the vertically installed OFP
PSD I
PSD II
I-V
I-V
Lens -R OFP
OFP-L
Dual-OC4
Scanner controller
MP I
NP II
NP I MP III
y
MP II z o
x
Fig. 1. System configuration of AFM dual-probe caliper. Three x–y–z micropositioning stages, MP I, MP II and MP III are used for course positioning of OFP-L, OFP-R and the sample, respectively. Two x–y–z nanopositioning stages, NP I and NP II are used for image scan and caliper alignment, respectively. Probe dynamic control is performed by an oscillation controller (dual-OC4) with laser feedback from PSD I and PSD II. CD measurements are accomplished by a multithread planning and control system.
10
H. Xie et al. / Ultramicroscopy 158 (2015) 8–16
Holder
~
PSD
Arc laser spot
1000 μm
Laser (I)
~ 500 μm
Gold coated cantilever OFP
3 2
(III)
3.0
1 2.5
0 180 Phase [deg]
Sample
f0 = 63.351 kHz
TB amplitude [v]
Amplitude [V]
θ
(II)
-90
Q = 202
0 -90 -180 -900
2.0 1.5 1.0 0.5
-450 0 450 Frequency shift [Hz]
900
Fig. 2. (a) An optical microscope image (20 ) of the a typical OFP. (b) The 1st bending frequency spectrum of the OFP.
(Fig. 3(a)-I). Similarly, sidewall topography images can be obtained (Fig. 3(a)-II and III) with laterally tilted OFP at an appropriate angle θ. The OFP is mounted on the home-made holder that can be rotated (clockwise or counterclockwise) for laterally tilting probe tip at any desired angles. As shown in Fig. 3(a), unlike circular or elliptical laser spot (as in the case of standard silicon cantilever), the reflected laser beam from the OFP's cylindric cantilever generates an arc laser spot on the position sensitive detector (PSD). With this unique characteristic, the laser feedback is not interrupted when the OFP is laterally tilted. In contrast, when tilting the conventional silicon probe, the deflected laser beam cannot be detected since it is deviated far from the PSD. Fig. 3(b) illustrates normal signals of the PSD while tilting probe at angles from 0 to 90°. The results reveal a roughly cosine relationship between the detected amplitude and the tilted angle. The feedback sufficiently meets the need for sidewall imaging even the probe is tilted at 60° (reduced by half). 2.4. Protocol for CD metrology with the AFM caliper Classical tilted probe or sample techniques cannot scan adjacent or opposite sidewalls efficiently due to required sample rotation [15]. Accurate measurements of LW and LWR are difficult with single-probe CD-AFM. The proposed dual-probe AFM caliper can measure LWR and LW by imaging opposite or adjacent sidewalls of micro and nanostructures employing OFP-L and OFP-R serially with the protocol illustrated in Fig. 4. The protocol primarily consists of following four steps. 2.4.1. Caliper alignment The precise touch and interaction of the tilted probes of the caliper is a key feature. As shown in Fig. 4–I, once the both probes
0.0 0
20
40 60 Tilt angle [deg.]
80
Fig. 3. (a) Configuration of laser beam deflection with the OFP. Owing to the cylindric cantilever, the reflected laser beam generates an arc spot on the position sensitive detector (PSD). When the probe is laterally tilted at an angle θ, reflected laser can still be detected by the PSD due to the bilaterally symmetrical laser spot. Insets: (I) vertically installed OFP for trench bottom scanning, while (II) and (III) elaborate sidewall scans with inversely tilted OFP. (b) A plot of the measured oscillating amplitude (normal output of the PSD) of the OFP versus tilted angles from 0 to 90°.
are roughly aligned under the optical microscope and contacted with the substrate near or over target pattern by alternately driving NP I and NP II, the very tip of OFP-L is locally scanned by OFP-R whilst driving NP II (insets show the scan path and an AFM image of OFP-L tip apex). With this approach, both probes can be well aligned with nanometric accuracy without any tip damage. The selection of small scan step (e.g. 1 nm or less) is indispensable for precisely locating apex of OFP-L whereas minimal oscillation amplitude is recommended on OFP-R if the intermittent contact mode is used. The tip oscillation magnitude should be considered during inter-tip distance calculation. To reduce the thermal drift, the AFM caliper should be re-aligned for every new scan. As the system is robust and flexible so precise tip alignment is achieved in few minutes. 2.4.2. Opening the caliper By driving NP II, OFP-R is retracted with a distance of Δyp that is comparatively wider than the thickness of the target structure, and sample is moved Δy by NP I to relocate the target structure in between both probes. Now the caliper is opened with a distance Dopen (Fig. 5(a)) that is estimated with the optical microscope for the microstructure or from line scan with OFP-R in the case of nanostructure.
H. Xie et al. / Ultramicroscopy 158 (2015) 8–16
OFP-L
P OF
11
height by NP II to avoid probe crush during the left sidewall scan. Similarly, once the left sidewall scan is completed, OFP-L is withdrawn by MP I and the right sidewall is scanned by OFP-R. This approach is also applicable for low dense sidewalls with re-entrant, overhang and undercut features. As an extended application, the AFM caliper can also measure groove width using a modified protocol: after caliper alignment (Section 2.4.1), as shown in Fig. 5(b), OFP-R is lifted up Δzp by NP II, while the sample is moved on the y-axis until OFP-L approaches the right sidewall for image scan. When the scan on the right sidewall is completed, withdraw OFP-L (not shown), or lower both the sample and OFP-R below OFP-L for the left sidewall scan with OPP-R. Once topography images of two sidewalls are acquired, image data is used to extract various CDs. As demonstrated in Fig. 5(a), the line width (LW) ws of the scanned pattern is determined by
-R
(I) Δyp Δy (II)
ws = Dopen − dgap − AL − AR
Slow scan direction
z
Fast scan direction
y
x
Fig. 4. Protocol for CD measurements with the AFM caliper. (I) Caliper alignment: tip of OFP-L is scanned by OFP-R while the inset shows AFM image of OFP-L tip apex. (II) Opening the caliper by retracting OFP-R with a distance Δyp by NP II and relocate the sample with a distance Δy by NP I. (III) Serial scanning of sidewalls where slow scan is along z-axis and fast scan is along x-axis while tip-sample distance is controlled along y-axis.
Dopen ws
dgap
AL dgap
OFP-R
AR Δzp OFP-R
AR z Structure
y
where Dopen is the initial opening distance of the caliper, dgap is the distance covered by NP I in step II, and AL and AR are the setpoint amplitudes on OFP-L and OFP-R, respectively. Other CDs, for instance, LER, slope angles, and LWR can also be precisely calculated from the image data.
3.1. Sidewall scan with different tilted angles
OFP-L AL
(2)
3. Results and discussion
ws OFP-L
Similarly, as shown in Fig. 5(b), the line width of the groove is calculated by
ws = dgap + AL + AR
(III)
Δz
(1)
Structure z y
Fig. 5. (a) Schematic diagram depicting thickness calculation mechanism and (b) grooves width measurement by AFM caliper.
2.4.3. Sample alignment As shown in Fig. 4-III, once the caliper is precisely positioned, sample is moved upward within a specified distance Δz to place the target structure within the caliper loosely. Δz is determined by the direction and depth of the slow scan. 2.4.4. Sidewall scan Immediately the servo on the y-axis of NP I is initialized for image scan (Fig. 4-III). Sidewalls of pattern are serially scanned by OFP-L and OFP-R. The slow scan is along z-axis while fast scan is along x-axis. Prior to the image scanning, the setpoint distances between the probes and sidewalls should be well calibrated when the force modulation or intermittent contact mode modes are used. For this purpose, the OPF is excited close to its resonance frequency, then the tip is approached towards sample until the amplitude is nearly zero while the tip contacts the surface. In this way a setpoint amplitude is determined. During scanning a tip-sample distance is maintained constant according to the determined setpoint value. For structures with too narrow inter feature distance (highly dense sidewalls), OFP-R should be lifted up more than the sidewall
Sidewall scan is one of the indispensable functions of the caliper. Proper selection of tilt angle is highly valuable for image resolution and quality. Small tilted angles will cause unpredictable image artifacts as a result of convolution with the tip shape. Undesired lateral forces exerted on the probe at smaller tilt angles makes scan control difficult. In addition, there are possibilities of rapid tip wear due to slip stick in the contact mode [33] and frequent tip-sample touch in intermittent contact mode. Probe dimensions, tip aspect ratio and the pattern density also contribute to the selection of the tilt angle. To optimize the tilt angle for better performance of the caliper, sidewall scan with different tilted angles were tested with one of the OFPs. A silicon sidewall (fabricated by deep reactive ion etching: DRIE) was scanned in intermittent contact mode (amplitude setpoint of 50 nm) at different tilt angles. Fig. 6 shows a SEM image of the sidewall. Fig. 7(a), (b) and (c) are AFM images at
Scan area
Fig. 6. A SEM image of silicon sidewall fabricated by deep reactive-ion etching (DRIE) technique where highlighted square is the scan area.
12
H. Xie et al. / Ultramicroscopy 158 (2015) 8–16
o
40
45
y-position [nm]
20 0 40
o
30
20 0 40
o
18
20 0
0
1
2 3 4 z-position [µm]
5
Fig. 7. Intermittent contact mode observation of sidewall at different tilted angles. (a), (b) and (c) are AFM topographic images obtained at tilted angle θ ¼45°, 30° and 18°, respectively. (d) Topography profiles extracted from lines depicted in each image.
18.9º 20.5º
4.956 μm 4.556 μm
Left side
3.612 μm 3.221 μm
tilt angle of 45°, 30° and 18°, respectively. Linear features along the x-axis are observed. The investigation of extracted profiles from topographic images at different tilted angles, as illustrated in Fig. 7 (d), demonstrates that the linear features are not well detected at a tilted angle of 18°. Image quality at larger tilt angles θ ¼ 30° and θ ¼45° are greatly improved and by comparison, more features on the linear structures can be observed at θ ¼45°. The results demonstrate that θ ¼45° is the optimal tilt angle with all contributing parameters (tip shape, adhesion forces, slip and stick) well balanced. In the following experiments, the tilted angle has been optimized while considering dimensions of the target structures and the OFPs.
Right side
19.1º 21.3º
3.2. CD metrology of microstructures with the AFM caliper The caliper was firstly used to study the CDs of the DRIE fabricated comb microstructure of a silicon nanopositioning platform, as shown in Fig. 8. The top surface dimensions can be easily determined by the SEM. Undercut and re-entrant sidewall features (seen in the bottom inset that is captured from part of a broken comb structure) normally invisible to SEM can be imaged by the AFM caliper. Fig. 9 from left to right the optical microscope images shows the procedures of caliper alignment and opening, as well as sidewalls scanning, respectively. The fast scan direction was defined on the x-axis and the slow scan on the z-axis was started at about 20 nm below the comb's top edge. The amplitude setpoint on each probe and the opening distance of the caliper were set as 40 nm and 6 μm , respectively. A tilted angle of 22° was optimized from the minimum distance between neighboring combs (4.0 μm ) and scan depth (8 μm ). Fig. 10(a) and (b) shows scanned images of the left and right sidewalls, respectively. The images have high spatial resolution
Fig. 8. SEM image of combs (top view). Insets show magnified SEM images of top view (top) and cross section (bottom) of the investigated comb.
OFP-L
Target comb Δz Δx
OFP-R
Δy2
Fig. 9. Optical microscope images captured during the measurement. From left to right: clipper tip alignment, OFP-R retraces to open caliper and caliper approaches the comb for sidewall scanning.
Height [μm]
H. Xie et al. / Ultramicroscopy 158 (2015) 8–16
13
A B
8 7 6 5 4 3 2 1 0
C D 0 1 2 3 4 5 y-distance [μm]
Fig. 10. CDs of the comb microstructure measured by the AFM caliper. (a) and (b) Sidewall images of adjacent sidewalls of comb structure: images are obtained by serial scan on the left and right sidewalls. (c) 3-D image reconstruction of the comb structure from the sidewall images. (d) Profiles of adjacent sidewalls through the lines as depicted in (a) and (b).
and clearly show the surface defects such as small pits on the left sidewall. Three-dimensional image reconstruction of the comb structure from the sidewall images is shown in Fig. 10(c). Typically periodic and re-entrant features of the DRIE fabricated silicon surface are prominent. The average heights of periodic features are almost 100 nm, characterized by profiles extracted along the zaxis, as shown in Fig. 10(d). To fully characterize the sidewall features and measure dimensions of the comb microstructure, four line profiles on each side are extracted along x-axis as shown in Fig. 11. These lines pass through points A, B, C and D (Fig. 10(d)) at height of 8 μm , about 6.9 μm (maximum width), 3.5 μm and 1.25 μm , respectively. Taper angles are determined by linear fitting the data at taper parts. By taking mean values of both extracted
Narrow part
3.6
Wide part
Table 1 Linewidth measurements of the comb microstructure with the SEM and the AFM caliper (unit: μm ). Locations
A B C D
Locations
y-position [μm]
Left sidewall A B C D
2.4 A C
2.0 1.2
B D
dgap
0.8 Right sidewall
0.4 0.0 0
2
4 x-position [μm]
6
8
Fig. 11. Profiles of adjacent sidewalls extracted from lines along x-axis through points A, B, C and D marked in Fig. 10(d).
Narrow part
SEM
Caliper
SEM
Caliper
4.556 4.956 NA NA
4.4977 0.046 5.025 70.040 4.1427 0.071 4.383 70.068
3.221 3.612 NA NA
3.301 70.034 3.7017 0.044 2.865 7 0.053 3.069 70.064
Table 2 Taper angle measurements of the comb microstructure with the SEM and the AFM caliper (unit: degree).
3.2 2.8
Wide part
Left side
Right side
SEM
Caliper
SEM
Caliper
18.9 20.5 NA NA
18.36 7 0.08 19.62 7 0.06 10.99 7 0.05 10.79 7 0.05
19.1 21.3 NA NA
18.75 7 0.06 20.85 7 0.07 09.43 7 0.06 10.46 70.06
lines on the same heights, dgap is obtained to calculate widths of the comb microstructure using Eq. (1). The dgap is minimum when the feature width is maximum and vice versa (Fig. 11). Line widths and taper angles measured by SEM and the AFM caliper are summarized in Tables 1 and 2, respectively. As the sidewalls of the microcomb are rough with reentrant features, therefore, the deviation (the sum of standard deviations from both edge lines) is within tens of nanometer. Similarly, standard deviation (1s) in taper angle is also included in Table 2. CDs at A and B measured by
14
H. Xie et al. / Ultramicroscopy 158 (2015) 8–16
and pitch is 3.00 ± 0.01 μm as provided by the manufacturer. Fig. 12 shows a SEM image of grating, where the bottom width, top width and planar top surface width are measured as 1.25 μm , 1.10 μm and 1.01 μm , respectively (each value is averaged from twenty SEM measurements). The middle CD of the grating, challenging for the SEM, can be measured with the proposed AFM caliper. The AFM caliper alignment was carried out on the top surface of the TGZ3 grating. 2 μm of groove width of the TGZ3 grating makes it possible to tilt the OFP at 45°. As illustrated in the chart of Fig. 13(a), 2.4 μm line scan on the top surface of the grating depicts that the grating is tilted with a maximum height difference of 66 nm due to the mounting error and out-of-plane motion of NP I. To observe the grating sidewall features, scan was initiated from the top edge of the grating where the scan is just getting stable. The scan height was set as 450 nm and the initial opening distance of the caliper is defined as 1.6 μm while 40 nm of setpoint amplitude on each probes. The scan length was defined as 2.4 μm to meet requirements of LER and LWR measurements that the scan length should be more than twice the value of the grating thickness. A step length on the slow scan on the z-axis is set as 5 nm to acquire more details. To minimize influences from the thermal drift, the fast scan speed on the x-axis was increased with a step length of 10 nm. Fig. 13(b) shows AFM topographic images (90 240 pixel) of the grating sidewalls. An overall profile of grating was reconstructed using these topographic images, as shown in Fig. 13(c). Fig. 13(d) shows profiles extracted along lines highlighted in Fig. 13
1.006 μm 1.103 μm 1.251 μm
Fig. 12. SEM image of the grating (top view).
both methods (caliper and SEM) have differences less than 5%. These agreements validate the accuracy and potential of AFM caliper, which can also measure sidewall dimensions through C and D that are invisible to SEM. 3.3. CD metrology of nanostructures with the AFM caliper For the CD metrology of nanostructures, a step grating TGZ3 (NT-MDT, Russia) was studied. The step height is 560.0 72.6 nm
Left sidewall
OFP-L
560 nm 3 μm
Right sidewall
OFP-R
1.4
2.4
400
Top width
A
Height [nm]
B C Middle width
D γL E
2.0
1018.9 nm
300
1.2
200
0.8
100
0.4
γR
y-distance [μm]
0
30 0 0.0
z [nm]
x [μm]
60
0
0.1
0.2 1.0
1.1
1.2
Fig. 13. (a) Optical microscope image captured during the grating CD measurements. Inset presents a sketch of the grating. Top: line scan result on the top surface of the grating, indicating the grating is tilted with a maximum height difference of 66 nm. (b) AFM topographic images (90 240 pixel) of grating sidewalls. (c) An overall profile reconstructed from the sidewall images shown in (b). (d) Line profiles extracted through the lines highlighted in (b).
H. Xie et al. / Ultramicroscopy 158 (2015) 8–16
Table 3 Sidewall angles measurement results with the AFM caliper (unit: degree).
15
Table 4 LW, LWR and LER measurement results of the grating with the AFM caliper (unit: nm).
Segments
γL
γR
Mean
0–3/4 0–2/3 0–1/2 0–1/3 0–1/4 3/4–1 1/2–3/4 1/4–1/2
84.69 7 0.74 84.90 7 0.77 85.53 7 0.83 86.06 70.81 86.337 0.90 74.45 7 1.12 84.717 0.82 84.80 7 0.88
85.20 70.72 85.23 70.76 85.65 70.82 85.677 0.83 85.96 70.83 71.067 0.97 84.75 70.76 85.39 70.86
84.94 7 0.73 85.077 0.77 85.59 7 0.83 85.86 7 0.82 86.157 0.87 72.767 1.04 84.737 0.87 85.09 7 0.79
Mean
85.29 7 0.82
85.417 0.80
85.357 0.81
Locations
LW
LWR
LER (left)
LER (right)
A (TW) B C D (MW) E
1108.5 1149.7 1171.3 1180.8 1207.2
15.4 14.6 14.9 14.5 12.9
11.9 11.5 11.8 10.6 9.8
12.6 10.3 11.3 11.7 10.1
N
Re = 3 (b). The minimum distance between the left and the right profile is measured as 1018.9 nm. It demonstrates that the scanning is initiated close to the top surface of the grating (1.006 μm measured by the SEM in Fig. 12). Sidewall angles at 3/4 height of left (γL ) and right sidewall (γR ) are (84.94 ± 0.83)° and (85.42 ± 0.75)°, respectively. The angles on each sidewall were averaged from linear fit results of 240 slow scan lines and compensated taking into account the tilted angle error of the sample. Measured sidewall angles are summarized in Table 3 that are averaged from 15 measurements at different locations. From statistical data, the grating has a perfect symmetrical profile identified by the mean sidewall angles (3/4 height) (85.29 ± 0.82)° and (85.41 ± 0.80)° on the left and the right side, respectively. Consequently, a mean sidewall angle of (85.35 ± 0.81)° is obtained. To measure the LW, LER and LWR of the AFM grating, profiles as shown in Fig. 14 were extracted from locations A (50 nm from the top), B (110 nm), C (225 nm), D (280 nm) and E (450 nm) along the x-axis that are plotted in Fig. 13(c), where dgap is difference of mean values of each two extracted lines on the same height. The lines through A, B, C, D and E are coincident with start point of the fast scan lines 10, 22, 45, 56 and 90, respectively. To obtain the actual data at the same heights, profiles are obtained along the sloping direction of grating as determined in the chart of Fig. 13(a). The height profiles illustrate that the steep sidewalls begin in vicinity of point A, where extracted lines are used to calculate the top width of the grating. Similarly, the middle width is determined by extracted lines through point D. CD metrology results of the grating are summarized in Table 4, where the LW is defined average distance between two extracted profiles at the same height. LER (Re ) and LWR (Rw ) are respectively calculated by
A
420
B
C
D
Left sidewall
400 y-position [nm]
E
380 360 dgap
60 40 20
Right sidewall
0 0.0
0.4
0.8
1.2
1.6
2.0
2.4
x-position [μm] Fig. 14. Line profiles extracted through the lines highlighted in Fig. (b). (e) Profiles extracted through locations A, B, C, D and E along the slope in Fig. 13(d)
∑i = 1 (Pe − Ce)2 N−1
(3)
N
Rw = 3
∑i = 1 (We − Cw)2 N−1
(4)
where N are scan points on the extracted line, Pe is the point position on the extracted profile, Ce is the mean value of points position on the extracted profile; We is the distance between corresponding points on the bilateral lines, Cw is the average line width. From statistical data, the top width (TW) of the grating is measured as 1108.5 78.3 nm (the deviation is the sum of deviations on both edge lines) that is accordance with the SEM measurement result of 1.103 μm , where Rw = 15.4 nm and LER on left and right sidewalls are 11.9 and 12.6 nm, respectively. The middle width (MW) of grating is 1180.8 77.7 nm , where Rw = 14.5 nm and LER on left and right sidewalls are 10.6 and 11.7 nm, respectively. In addition, if the sidewall is planar at the bottom, where the width is estimated as Wb = 1222.4 nm . Compared to the result 1.251 μm measured by the SEM, it might be inferred that the grating is filleted at the bottom.
4. Conclusion Critical dimension measurement on sidewalls of micro and nanostructures is challenging, particularly in semiconductor industry due to ever shrinking device dimensions. Conventional CDAFMs have difficulties in accurate measurement of line width (LW) and line edge roughness (LWR). The developed atomic force microscope caliper, equipped with two titled optical fiber probes (OFPs), can be used to measure the LW and LWR efficiently and accurately. The probe can be tilted without any modifications to the optical lever due to the symmetry of the OFP's gold coated cylindric cantilever. Its relatively long and sharp tip has unique features of scanning deep trenches, as well as imaging sidewalls with undercut or re-entrant features at optimized tilted angles. The tip effects on metrology are minimal because of the special tip geometry and high aspect ratio in contrast to conventional AFM tips [34]. Besides precise LW and LWR measurements, the AFM caliper is considerably suitable for wide range of micro and nanostructures’ CD metrology, e.g. CD angles, line edge roughness and groove width. Experimental CD metrology results of comb microstructure and AFM step calibration grating show the capabilities and efficiency of the reported atomic force microscope caliper. The proposed caliper has promising potential of characterizing CDs however, scanning on the sidewalls top edge is challenging. Although scan can be performed in vicinity of top edge, the noise is inevitable because of scan control instabilities due to unsteady tip-sample interactions. A True 3-D AFM imaging approach will be more versatile for the full profile scan.
16
H. Xie et al. / Ultramicroscopy 158 (2015) 8–16
Acknowledgments Thanks to Professor Xuezeng Zhao and Professor Yongda Yan for kindly providing imaging samples. This work was supported in part by the National Natural Foundation of China under Grants 51175130 and 61222311, Research Fund of the State Key Laboratory of Robotics and Systems (HIT) under Grant SKLRS201301A02 and the Fundamental Research Funds for the Central University under Grant HIT.BRETIV.201309.
References [1] F. Priolo, T. Gregorkiewicz, M. Galli, T.F. Krauss, Silicon nanostructures for photonics and photovoltaics, Nat. Nanoechnol. 9 (2014) 19–32. [2] J.H. Jang, W. Zhao, J.W. Bae, D. Selvanathan, S.L. Rommel, I. Adesida, A. Lepore, M. Kwakernaak, J.H. Abeles, Direct measurement of nanoscale sidewall roughness of optical waveguides using an atomic force microscope, Appl. Phys. Lett. 83 (2003) 4116–4118. [3] E. Vogel, Technology and metrology of new electronic materials and devices, Nat. Nanoechnol. 2 (2007) 25–32. [4] N.C. Lindquist, P. Nagpal, K.M. McPeak, D.J. Norris, S.H. Oh, Engineering metallic nanostructures for plasmonics and nanophotonics, Rep. Prog. Phys. 75 (2012) 036501. [5] F. Laermer, A. Urban, Challenges, developments and applications of silicon deep reactive ion etching, Microelectron. Eng. 67 (2003) 349–355. [6] L.D. Menard, J.M. Ramsey, Fabrication of sub-5 nm nanochannels in insulating substrates using focused ion beam milling, Nano Lett. 11 (2010) 512–517. [7] B. Wu, A. Kumar, S. Pamarthy, High aspect ratio silicon etch: a review, J. Appl. Phys. 108 (2010) 051101. [8] A. Del, A. Jesús, Nanometre-scale electronics with III–V compound semiconductors, Nature 479 (2011) 317–323. [9] H.T. Huang, F.L. Terry, Spectroscopic ellipsometry and reflectometry from gratings (scatterometry) for critical dimension measurement and in situ, realtime process monitoring, Thin Solid Films 468 (2004) 338–346. [10] H. Gross, A. Rathsfeld, F. Scholze, M. Br, Profile reconstruction in extreme ultraviolet (EUV) scatterometry: modeling and uncertainty estimates, Meas. Sci. Technol. 20 (2009) 105102. [11] C.G. Frase, E. Buhr, K. Dirscherl, CD characterization of nanostructures in SEM metrology, Meas. Sci. Technol. 18 (2007) 510. [12] I. Perez, E. Robertson, P. Banerjee, L. Henn-Lecordier, S.J. Son, S.B. Lee, G. W. Rubloff, TEM-based metrology for HfO2 layers and nanotubes formed in anodic aluminum oxide nanopore structures, Small 4 (2008) 1223–1232. [13] G. Binnig, C.F. Quate, C. Gerber, Atomic force microscope, Phys. Rev. Lett. 56 (1986) 930. [14] K. Murayama, S. Gonda, H. Koyanag, T. Terasawa, S. Hosaka, Side-wall measurement using tilt-scanning method in atomic force microscope, Jap. J. Appl. Phys. 45 (2006) 5423–5428. [15] M. Watanabe, S. Baba, T. Nakata, T. Morimoto, S. Sekino, H. Itoh, Atomic force microscope method for sidewall measurement through carbon nanotube probe deformation correction, J. Micro/Nanolith. MEMS MOEMS 11 (2012)
011009. [16] M. Yasutake, K. Watanabe, S. Wakuyama, T. Yamaoka, Critical dimension measurement using new scanning mode and aligned carbon nanotube scanning probe microscope tip, Jpn. J. Appl. Phys. 45 (2006) 1970–1973. [17] H. Dai, J.H. Hafner, A.G. Rinzler, D.T. Colbert, R.E. Smalley, Nanotubes as nanoprobes in scanning probe microscopy, Nature 384 (1996) 147–150. [18] Y. Martin, H.K. Wickramasinghe, Toward accurate metrology with scanning force microscopes, J. Vac. Sci. Technol. B 13 (1995) 2335–2339. [19] S.I. Lee, S.W. Howell, A. Raman, R. Reifenberger, C.V. Nguyen, M. Meyyappan, Complex dynamics of carbon nanotube probe tips, Ultramicroscopy 103 (2005) 95–102. [20] H.C. Liu, J.R. Osborne, G.A. Dahlen, Recent CD AFM probe developments for sub-45 nm technology nodes, in: Proceedings of the SPIE Advanced Lithography, vol. 6922, 2008, pp. 69222J–1. [21] Y. Martin, H.K. Wickramasinghe, Method for imaging sidewalls by atomic force microscopy, Appl. Phys. Lett. 64 (1994) 2498–2500. [22] G. Dai, K. Hahm, F. Scholze, M.A. Henn, H. Gross, J. Fluegge, H. Bosse, Measurements of CD and sidewall profile of EUV photomask structures using CDAFM and tilting-AFM, Meas. Sci. Technol. 25 (2014) 044002. [23] G. Dai, H. Wolff, F. Pohlenz, H.U. Danzebrink, G. Wilkening, Atomic force probe for sidewall scanning of nano-and microstructures, Appl. Phys. Lett. 88 (2006) 171908. [24] F. Tian, X. Qian, J.S. Villarrubia, Blind estimation of general tip shape in AFM imaging, Ultramicroscopy 109 (2008) 44–53. [25] X. Qian, J.S. Villarrubia, General three-dimensional image simulation and surface reconstruction in scanning probe microscopy using a dexel representation, Ultramicroscopy 108 (2007) 29–42. [26] M. Fouchier, E. Pargon, B. Bardet, An atomic force microscopy-based method for line edge roughness measurement, J. Appl. Phys. 113 (2013) 104903. [27] M.M. Hussain, C.F. Gondran, D.K. Michelson, Atomic force microscope study of three-dimensional nanostructure sidewalls, Nanotechnology 18 (2007) 335303. [28] G.W. Reynolds, J.W. Taylor, Correlation of atomic force microscopy sidewall roughness measurements with scanning electron microscopy line-edge roughness measurements on chemically amplified resists exposed by x-ray lithography, J. Vac. Sci. Technol. B 17 (1999) 2723. [29] S.J. Cho, B.W. Ahn, J. Kim, J.M. Lee, Y. Hua, Y.K. Yoo, S.I. Park, Three-dimensional imaging of undercut and sidewall structures by atomic force microscopy, Rev. Sci. Instrum. 82.2 (2011) 023707. [30] V. Mancevski, P.F. McClure, Development of a dual-probe Caliper CD-AFM for near model-independent nanometrology, Proc. SPIE 4689 (2002) 83–91. [31] H. Xie, D.S. Haliyo, S. Régnier, A versatile atomic force microscope for threedimensional nanomanipulation and nanoassembly, Nanotechnology 20 (2009) 215301. [32] H. Xie, M.N. Yin, W.B. Rong, L.N. Sun, In situ quantification of living cell adhesion forces: single cell force spectroscopy with a nanotweezer, Langmuir 30 (2014) 2952–2959. [33] K.S. Chen, A.A. Ayón, X. Zhang, S. Mark, Spearing effect of process parameters on the surface morphology and mechanical performance of silicon structures after deep reactive ion etching (DRIE), J. Microelectromech. Syst. 11 (2002) 264–275. [34] N.G. Orji, R.G. Dixson, Higher order tip effects in traceable CD-AFM-based linewidth measurements, Meas. Sci. Technol. 18 (2007) 448–455.