Atomic Layer Deposition

Atomic Layer Deposition

CHAPTER ATOMIC LAYER DEPOSITION: FUNDAMENTALS, PRACTICE, AND CHALLENGES 11 Triratna Muneshwar, Mengmeng Miao, Elham R. Borujeny and Ken Cadien Univ...

3MB Sizes 2 Downloads 230 Views

CHAPTER

ATOMIC LAYER DEPOSITION: FUNDAMENTALS, PRACTICE, AND CHALLENGES

11

Triratna Muneshwar, Mengmeng Miao, Elham R. Borujeny and Ken Cadien University of Alberta, Edmonton, AB, Canada

11.1 INTRODUCTION Atomic layer deposition (ALD) is a chemical route for thin film deposition, wherein a sequence of self-limiting surface reactions is repeated a discrete number of times [1,2]. In a typical ALD process, two or more co-reactants are sequentially pulsed into the reactor as first reactant pulse (t1)/ reactor purge (t2)/second reactant pulse (t3)/reactor purge (t4), and so on. Here t1, t2, t3, t4, . . ., are the respective pulse/purge durations, and this sequence (total duration for the case of two reactants: t1 1 t2 1 t3 1 t4) is termed “a deposition cycle.” In a steady regime of ALD growth [3], the incremental change in film thickness per deposition cycle, or growth-per-cycle (GPC), is constant. In ALD, the introduced co-reactant species are restricted to react only with the accessible surface active sites, which are consumed as the reaction proceeds [4]. Once the substrate is depleted of these accessible active sites, respective surface reactions self-terminate regardless of whether excess species are present in the reactor. Furthermore, reactor purging after every co-reactant exposure step ensures removal of surface reaction by-products and unreacted species from the reactor, thereby restricting any potential gas-phase reactions and/or incorporation of reaction by-products into the growing thin film. Thus, with sufficiently long co-reactant exposures along with adequately long reactor purges, the resulting GPC approaches a limiting value GPCsat, which is not affected by further increase in co-reactant exposures or by extended purges. The self-limiting nature of the involved surface reactions is the unique characteristic of ALD growth. To compare, pulsed chemical vapor deposition (PCVD) also utilizes the introduction of coreactants in a series of pulses separated by reactor purges. PCVD also exhibits a linear increase in film thickness with number of deposition cycles, thus resulting in a constant GPC. However, unlike ALD, the GPC for PCVD growth does not approach saturation but increases with the increasing flow rate of the co-reactants during the respective pulses [57]. Advantages exhibited by ALD over other vacuum thin film deposition techniques are attributed to the involved self-limiting surface reactions. Surface saturation restricts ALD to a partial monolayer growth per cycle (or equivalent GPCsat of B0.1 nm/cycle) that allows for a sub-nanometer control over ALD film thickness [1]. Moreover, if the substrate surface is homogeneous with uniform distribution of surface reaction sites, ALD results in uniform deposition over the entire substrate [8] as well as excellent step coverage in non-planar high-aspect ratio features [9]. Reactor Handbook of Thin Film Deposition. DOI: https://doi.org/10.1016/B978-0-12-812311-9.00011-6 © 2018 Elsevier Inc. All rights reserved.

359

360

CHAPTER 11 ATOMIC LAYER DEPOSITION

purging after every co-reactant pulse prevents formation of particles from gas phase reactions and restricts incorporation of reaction by-products as impurities in the ALD film, thus the density of thin film defects is lower in ALD compared to CVD techniques. In the self-limiting growth regime, GPC for the ALD process using a specific combination of co-reactants must approach an identical GPCsat irrespective of reactor configuration or surface topography.

11.2 ALD APPLICATIONS Although academic research in ALD dates back to the early 1960s [10], its earliest commercial application was in the 1980s for ZnS electroluminescent flat-panel displays [11]. In the 1990s, further developments in ALD were stimulated by its applications in semiconductor fabrication to address the challenges posed by device scaling [12]. As summarized in Table 11.1, ALD has played an important role in the successful integration of high-κ dielectrics [13] in semiconductor logic devices, Cu metallization [1417] in interconnect technology, and fabrication of high-density memory devices. ALD continues to be an important process in fabrication of modern devices which integrate wide bandgap semiconductors [18], FinFET structures [19], and other emerging nanoscale electronics [20]. Fig. 11.1 shows cross-section images of metalinsulatormetal stack in a DRAM and a 3D trench capacitor, illustrating conformal coverage of TiN films deposited by plasma enhanced ALD (PEALD) [26]. Besides its use in the semiconductor industry, ALD has also been an enabling technique for other applications. Ultrathin Al2O3 capping layers deposited by ALD are applied as a moisture barrier layer for organic LED (OLED) displays [40]. ALD films which could sustain in rather harsh environments are used in fuel-cells [41] and corrosion-resistant coatings [42]. Other applications of ALD include fabrication of nanoscale structures for sensor applications, deposition of functional layers on nanostructured catalysts (see Fig. 11.2), and the biomedical industry [43]. Fig. 11.3 represents some of the elements on the Periodic Table for which ALD processes for depositing their respective oxides, nitrides, sulfides, selenides, tellurides, pure elements, and other complex compounds as reviewed in [1].

Table 11.1 Application of Sample Atomic Layer Deposition Materials in Silicon and Wide-bandgap Semiconductor Devices Application

ALD Material

References

High-κ gate dielectric Gate electrode for high-κ oxide Diffusion barrier for Cu interconnect Adhesion/seed layer in metallization Oxides in DRAM trench capacitors Metal contacts in trench capacitors

Al2O3, ZrO2, HfO2 HfN, TaN, TiN ZrN, HfN, TiN, TaN, WN Ru, Cu TiO2, AlxTi12xOy, BaTiO3, SrTiO3 TiN, Ir, W

[2123] [2426] [2730] [3133] [3437] [26,38,39]

11.2 ALD APPLICATIONS

361

FIGURE 11.1 Bright field TEM image of TiN PEALD films in (A) an embedded DRAM capacitor made of TiN/ZrO2/TiN stack, and (B) 3D MIM capacitor, made of a TiN/Ta2O5/TiN stack. Reproduced from Caubet P, Blomberg T, Benaboud R, Wyon C, Blanquet E, Gonchond J-P, et al. Low-temperature low-resistivity PEALD TiN using TDMAT under hydrogen reducing ambient. J Electrochem Soc 2008;155:H625. doi:10.1149/1.2940306.

FIGURE 11.2 (A) SEM and (B) STEM image of Al2O3 nanotubes prepared from nanofiber templates (C) side view, and (D) top view of SEM image of TiO2 film deposited by ALD on a Ni nanorod array. Reproduced from Leskela¨ M, Kemell M, Kukli K, Pore V, Santala E, Ritala M, et al. Exploitation of atomic layer deposition for nanostructured materials. Mater Sci Eng C 2007;27:15048. doi:10.1016/j.msec.2006.06.006 [44].

362

CHAPTER 11 ATOMIC LAYER DEPOSITION

FIGURE 11.3 Overview of materials grown by ALD. Growth of pure elements as well as compounds with oxygen, nitrogen, sulfur, selenium, tellurium, and other compounds grouped together are indicated through shadings of different types at different positions. Reproduced from Puurunen RL. Surface chemistry of atomic layer deposition: a case study for the trimethylaluminum/water process. J Appl Phys 2005;97:121301. doi:10.1063/1.1940727.

11.3 ALD FUNDAMENTALS 11.3.1 ALD PROCESS An ALD process essentially consists of introducing co-reactant species onto the substrate maintained at temperature Tsub, in a periodic manner, as illustrated in Fig. 11.4. Conventionally, the metal-containing reactant is identified as “a precursor,” while the other reactant is simply identified as “a reactant.” An ALD precursor may be an organic compound (such as Ti(N(CH3)2)4, Al(CH3)3, Pt(acac)2, etc.) or an inorganic compound (such as TiCl4, SiH2Cl2, etc.), while the reactant is typically a hydride (such as H2O, H2S, etc.), molecular species (such as O2, O3, etc.) or a plasma activated species (such as O-plasma, N2/H2 plasma, etc.). Different types of precursors and reactants used in ALD are reviewed in [1]. The durations for precursor pulse (t1), post-precursor purge (t2), reactant pulse (t3), and post-reactant purge (t4) are selected such that the surface reaction is self-limiting with respect to both precursor and reactant reactions. In the case of plasma-assisted ALD (PEALD), the plasma power is an additional process variable that determines the density and energy distribution of the plasma-activated reactant species [45]. Besides t1t4, Tsub, and plasma power, other process variables specific to the ALD reactor and the process under consideration may

11.3 ALD FUNDAMENTALS

363

FIGURE 11.4 Precursor and reactant pulsing sequence in a representative ALD process.

apply and their effect on ALD growth and material properties must be investigated. For example, the ALD valve temperature is shown to affect PEALD growth of ZrN [46], and ratio of N2:H2 flow rates in N2/H2 plasma is shown to affect electrical resistivity of PEALD HfN films [47]. The most important selection conditions for a precursor/reactant combination is that the involved surface reactions must be thermodynamically feasible (Fig. 11.6) and self-limiting in nature. Furthermore, the selected precursor/reactant and reaction by-products must not interfere with the deposition process. For example, substrate oxidation in the initial stages of ALD oxide growth has been reported [48,49].

11.3.2 SURFACE REACTIONS IN ALD To discuss ALD fundamentals, consider ALD film growth of a compound AB2 using precursor AL4 and reactant BX2 as source of A and B atomic species. L and X in precursor and reactant molecules are the sacrificial fragments or ligands that are removed as by-product LX of the ALD reaction given by: AL4 1 2UBX2 -AB2 1 4ULXðmÞ

The AL4 and BX2 molecules are introduced sequentially into the reactor, and the above chemical reaction proceeds in steps as (A) precursor reaction and (B) reactant reaction represented schematically in Fig. 11.5. Prior to precursor pulse, the substrate is shown to be terminated with BX surface species, either on a bare substrate or as a result of an earlier reactant reaction step. These BX surface units provide active sites for AL4 surface reaction. As shown in Fig. 11.5A, an AL4 molecule interacts with one or more of surface BX units forming a surface complex BδAL42δ (where

364

CHAPTER 11 ATOMIC LAYER DEPOSITION

FIGURE 11.5 Schematic of surface reactions involved during ALD of a binary material AB2 using precursor AL4 and reactant BX2. Atomic species A, B, L, and X are represented by blue, green, yellow, and red spheres, respectively. (A) precursor reaction and (B) reactant reaction.

1 , δ # 3) and δ units of LX molecules are released as by-products. This surface reaction is represented as: δUðB 2 X Þ 1 AL4 -Bδ AL42δ 1 δULXðmÞ

Surface density of BδAL42δ complex increases with increasing the number of AL4 molecules (by increasing t1), and when the BX reaction sites are no longer accessible, the precursor reaction self-terminates. Surface coverage of BδAL42δ species is limited by steric hindrance from the remaining ligands on precursor molecules [4]. Reactor purging after precursor pulse ensures that any excess unreacted AL4 molecules along with the by-products LX are effectively purged out of the ALD reactor, thus preventing potential gas phase reactions with following BX2 reactant pulse and/or incorporation of by-product LX into the growing ALD film. Before reactant reaction, the substrate surface consists of the complex BδAL42δ units formed from precursor reaction and the unreacted BX units in Fig. 11.5B. Of these, the introduced BX2 molecules react only with the BδAL42δ surface units in a surface reaction given by: Bδ AL42δ 1 2UBX2 -AB2 1 δUðB 2 X Þ 1 ð4 2 δÞULXðmÞ

As can be seen, every reacting BX2 molecule consumes a unit of BδAL42δ on the surface to form a unit of AB2 compound. Thus with increasing the BX2 dose (by increasing t3), the reactant

11.3 ALD FUNDAMENTALS

365

reaction self-terminates after every accessible BδAL42δ unit is consumed, and results in partial monolayer growth of AB2. It is also noteworthy that the number of BX sites consumed in the precursor reaction is re-generated after reactant reaction. This consumption and re-generation of an equal number of BX surface units in a deposition cycle gives a constant GPC in linear ALD growth regime.

11.3.3 THERMODYNAMICS AND KINETICS OF SURFACE REACTIONS Representation of ALD surface reactions in Fig. 11.5 depicts the change in the surface chemistry during precursor and reactant surface reactions. Fundamentally, the interactions at the gassolid interface consist of physisorption and desorption at the surface, surface diffusion of physisorbed species, chemisorption, and the removal of reaction by-products. Fig. 11.6 schematically illustrates the gassolid interactions between pulsed AL4 molecules and BX terminated surface at temperature Tsub for a precursor reaction of Fig. 11.5A.

FIGURE 11.6 Schematic for (A) gassolid interactions and (B) associated reaction energy during precursor reaction in ALD at a representative substrate temperature Tsub. The chemical states for precursor AL4 molecule are labeled as: (1) gas phase; (2) physisorbed; (3) transient chemical state; (4) chemisorbed complex BδAL42δ .

366

CHAPTER 11 ATOMIC LAYER DEPOSITION

The gassolid interactions in Fig. 11.6 could be expressed with chemical equations as kphy

kTS

kdes

kback

kfor

 !Bδ AL42δ 1 δULXðmÞ. The gas phase AL4 molecules, AL4 ð1Þ 1 δðB 2 X Þ$AL4 ð2Þ$ AL4 ð3Þ labeled as (1), first physisorb onto the substrate forming (2) with energy change (2ΔE1) associated with this physisorption process. These physisorbed species (2) then either desorb or undergo further reaction to form the transient chemical state (3) with positive energy change (1ΔEa). The precursor molecule in the transition state (3) then either reverts back to the physisorbed state or proceeds further forming a chemisorbed BδAL42δ surface unit with release of LX molecules as reaction byproduct with a total energy change of 2 (ΔEa 1 ΔE2). The physisorption rate is proportional   to AL4 partial pressure, whereas the desorption rate con-

1 , and rate constant for formation of transition state kTS is stant kdes is proportional to exp 2 kΔE B Tsub   a proportional to exp 2 kΔE (kB is the Boltzmann constant). The net surface reaction kinetics, and B Tsub

hence the minimum precursor or reactant dose necessary for saturation, is governed by the slowest of these reaction steps [50]. Study of gassolid interactions and reaction mechanisms is crucial for ALD process development [51]. These reactions are often studied from first-principle electronic calculations based on density functional theory (DFT) [50,5255]. In situ analytical techniques such as Fourier transform infrared spectroscopy (FTIR) [56], X-ray photoelectron spectroscopy (XPS) [57], optical emission spectroscopy (OES) [58], quadrupole mass spectroscopy (QMS) [59,60], etc., have been used to study surface reaction mechanisms. These studies not only enhance the fundamental understanding of the ALD process, but have also been essential in the development of novel precursors [61,62].

11.4 CHARACTERIZATION OF THE ALD PROCESS 11.4.1 ALD GROWTH CHARACTERIZATION GPC is the most practical and useful parameter for ALD growth characterization. GPC represents the amount of material deposited per ALD cycle, and is calculated from deposited thickness against the number of cycles. Fig. 11.7 shows the GPC calculation for (A) ALD of zirconium nitride and hafnium nitride using ex situ single-wavelength ellipsometry measurements [63], and (B) PEALD of zirconium nitride using in situ spectroscopic ellipsometry (SE) measurements [64]. Alternatively, GPC may also be determined from calculating the mass change incurred per deposition cycle with quartz crystal microbalance (QCM) [65]. However, because QCM characterizes ALD growth on a surrogate quartz substrate, that may differ from substrate with respect to temperature, initial conditions, chemical reaction mechanisms, etc., calculation of GPC from thickness measurements is the most suitable approach for ALD growth characterization. In situ characterization further allows real-time monitoring and control of ALD growth that is advantageous for rapid process development. As could be inferred from Fig. 11.7, in situ thickness measurements enable GPC calculation from a single deposition, whereas ex situ thickness measurements require multiple depositions to determine GPC. The most prominent in situ growth characterization techniques used in ALD are QCM [6567] and SE [68,69].

11.4 CHARACTERIZATION OF THE ALD PROCESS

367

FIGURE 11.7 GPC calculations from ellipsometry technique using (A) ex situ measurements of multiple depositions [63] and (B) in situ measurements in a single deposition.

Substrate temperature, Tsub, is the most important process variable that determines thermodynamic feasibility and kinetics of the desired ALD surface reactions (Fig. 11.6). Furthermore, the ALD process has a fundamental upper limit on Tsub (  Tdecomp.) above which the precursor undergoes thermal decomposition, and the resulting surface reactions deviate from selflimiting ALD characteristics. Such non-ALD growth for ZnO PEALD using diethyl zinc (DEZ) precursor and O-plasma reactant is shown in Fig. 11.8, where GPC is found to saturate with DEZ pulse (t1) and plasma exposure (t3) only for Tsub # 50 C but increase with t1and t3 for Tsub . 50 C [70]. Additional constraints on Tsub may apply depending on the reactor design and thermal stability of the substrate. The temperature range that allows for self-terminating surface reactions is termed “the temperature window” for the ALD process. Deposition cycle parameters t1t4 at Tsub in the ALD temperature window for surface saturation are obtained from GPC curves determined by varying t1, t2, t3, and t4. It is essential to realize the interdependence between these variables such that while evaluating the effect of one particular variable (e.g., t1) on surface saturation, the involved surface reactions must be independent of the other parameters (e.g., t2, t3, and t4). Interdependence between t1t4 not only allows for rapid process development but may also explain inconsistencies between reported GPCs by different groups for identical ALD processes. Fig. 11.9 illustrates an example of PEALD ZnO process [70] using in situ SE growth characterization to evaluate variables t1t4 for characteristic ALD growth conditions from merely 15 depositions. Provided that surface reaction sites for ALD reactions are uniformly distributed, under surface saturation conditions, ALD growth would be uniform over the entire substrate and also within or over non-planar surface features irrespective of the ALD reactor configuration. However, the process parameters (Tsub and t1t4) necessary to attain the surface saturation conditions for a specific deposition may differ. For example, precursor and reactant transport within high aspect ratio features are limited by gas phase diffusion and a conformal deposition requires longer precursor and reactant pulses for surface saturation in deep features. Fig. 11.10 shows an example of ZnO ALD

368

CHAPTER 11 ATOMIC LAYER DEPOSITION

FIGURE 11.8 GPC versus Tsub trends for ZnO PEALD growth using diethyl zinc (DEZ) precursor and O-plasma reactant. ALD cycle parameters (i.e., t1—t2—t3—t4) are (A) 0.02—15—6—15; (b) 0.10—15—15—15; and (c) 0.20—15—30—15. Reproduced from Muneshwar T, Shoute G, Barlage D, Cadien K. Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: effect of precursor decomposition. J Vac Sci Technol Vac Surf Films 2016;34:050605. doi:10.1116/1.4961885 [70].

within high aspect ratio anodized alumina nanopores (d 5 65 nm, L 5 50 μm), where the ZnO relative coverage is seen to approach unity with increasing DEZ exposure time at a relatively long DEZ exposure time. Besides aiding process development, the observed trend in GPC with varying substrate temperature, Tsub, and deposition cycle parameters, t1t4, also provides a valuable insight into the underlying surface reactions [70].

11.4.2 MATERIAL CHARACTERIZATION CHALLENGES In principle, every thin film characterization technique is applicable for analyzing ALD grown material. However, the very thin (typically a few 10s of nm) thickness of ALD films makes their structural and chemical characterization challenging. Techniques sensitive at these material dimensions such as SE [68], XPS [72], transmission electron microscopy, etc., are often used for ALD

11.4 CHARACTERIZATION OF THE ALD PROCESS

369

FIGURE 11.9 GPC saturation curves for ZnO PEALD growth using DEZ precursor and O-plasma reactant at Tsub 5 50 C with respect to (A) DEZ pulse length, (B) O-plasma exposure, (C) post-DEZ purge, and (D) post O-plasma purge. Self-limiting PEALD growth exhibits a GPC of 0.101 6 0.002 nm/cycle. Reproduced from Muneshwar T, Shoute G, Barlage D, Cadien K. Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: effect of precursor decomposition. J Vac Sci Technol Vac Surf Films 2016;34:050605. doi:10.1116/1.4961885.

film characterization. Fig. 11.11 shows high-resolution TEM (HRTEM) cross-section images for HfO2 ALD with HfCl4 and H2O at 300 C substrate temperature [73]. Island formation from nucleation inhibited HfO2 growth on HF-last treated Si substrate is distinctly visible from uniform HfO2 deposition on SiO2. Electrical properties such as resistivity and dielectric breakdown voltage are highly sensitive to trace impurities and nanoscale defects. Hence electrical measurements provide a reliable and a convenient means for ALD film characterization as shown in Fig. 11.12 for Al2O3 ALD oxides [74].

370

CHAPTER 11 ATOMIC LAYER DEPOSITION

FIGURE 11.10 (A) Relative ZnO coverage measured by electron probe microanalysis (EPMA) line scan and (B) normalized integrated ZnO coverage following 64 cycles of ZnO ALD using DEZ exposure times of 1, 3, 10, and 30 s. Reproduced from Elam JW, Routkevitch D, Mardilovich PP, George SM. Conformal coating on ultrahigh-aspect-ratio nanopores of anodic alumina by atomic layer deposition. Chem Mater 2003;15:350717. doi:10.1021/cm0303080 [71].

While interpreting ALD characterization results, it is essential to consider any potential distortions in the analyzed sample occurring from the analytical measurements. For example, the electron beam in TEM imaging is known to induce local crystallization [75] as also observed for ALD HfO2 films [76]. Thin film optical constants (i.e., optical bandgap, refractive index, and extinction coefficient) do exhibit thickness dependence [77,78], and hence finite size effects must be taken into consideration while comparing optical constants of ALD films with the bulk values. Introduction of surface impurities from ambient exposure of ALD samples must also be taken into consideration. For example, ALD-grown nitrides and metals tend to form a native surface oxide upon ambient exposure at room temperature, and consequently a surface-sensitive characterization, such as XPS, may indicate significant levels of O-contamination in the ALD sample. Moreover, in sputtering-assisted depth-profile analysis, the effect of atomic intermixing induced by ion bombardment and preferential sputtering must also be accounted for.

11.5 ALD CHALLENGES FOR DEVICE SCALING ALD of high-κ gate oxides has played an important role in miniaturization of semiconductor devices. However, for ALD to remain an enabling technology in future, it is essential that fundamental and practical limitations of ALD are analyzed to identify potential solutions.

11.5 ALD CHALLENGES FOR DEVICE SCALING

371

FIGURE 11.11 HRTEM cross-section image of (A) HfO2 deposited on Si immediately after HF-last treatment; and (B) HfO2/ SiO2/Si for HfO2 ALD with HfCl4 and H2O at 300 C substrate temperature. Reproduced from Gusev EP, Cabral C, Copel M, D’Emic C, Gribelyuk M. Ultrathin HfO2 films grown on silicon by atomic layer deposition for advanced gate dielectrics applications. Microelectron Eng 2003;69:14551. doi:10.1016/S0167-9317(03)00291-0.

11.5.1 LOWER LIMIT ON ALD FILM THICKNESS In theory, the saturation surface coverage of both precursor and reactant species should approach 100%, thus leading to deposition of monolayer (ML) thick material in a single ALD cycle. However, as shown in Fig. 11.5, precursor/reactant geometries limit their saturation coverage to ,100% and consequently GPC in an ALD process is ,1 ML/cycle (B 0.4 ML/cycle for Al2O3 thermal ALD using TMA and H2O [1]). Moreover, depending on the substrate conditions ALD growth may initiate as island growth in early deposition stages, and may require subsequent ALD cycles before a continuous functional layer is attained. Thus the fundamental lower limit on thickness of an ALD-grown functional layer obtained with an integral number of deposition cycles is .1 ML, and specific to the substrate chemistry, precursor/reactant geometry, and process conditions.

372

CHAPTER 11 ATOMIC LAYER DEPOSITION

FIGURE 11.12 Leakage current density JL versus gate bias Vg for Al2O3 films on GaAs with different film thickness from 12 ˚. to 50 A Reproduced from Lin HC, Ye PD, Wilk GD. Leakage current and breakdown electric-field studies on ultrathin atomic-layer-deposited Al2O3 on GaAs. Appl Phys Lett 2005;87:182904. doi:10.1063/1.2120904.

11.5.2 CRITICAL MATERIALS AND PRECURSOR EFFICIENCY IN ALD In conjunction with reduction of physical device dimensions, integration of newer materials will be required to sustain the use of device scaling in the future [79]. Some of the elements in these emerging materials are in limited supply, thus driving up the cost of suitable ALD precursors. As compared to the number of precursor molecules introduced, only a small fraction (#0.01%) is utilized for ALD growth while the rest is pumped out of reactor as waste [4]. Improvement in this precursor utilization would be a major challenge with ALD in large volume fabrication.

11.5.3 CRITICAL CONTAMINATION IN ALD FILMS In emerging device architectures, with physical dimensions approaching atomic scales, trace contamination levels are detrimental to device performance. To minimize contaminations the precursor, reactant and process gases used in ALD are of ultra-high purity (often ppb trace levels). With purity levels the operational cost increases. Hence, it is essential to study the mechanisms of contaminant incorporation in ALD films and also to determine the critical levels of contamination that provides for acceptable and reliable device performance.

REFERENCES

373

11.6 CONCLUSIONS ALD was adopted by the semiconductor fabrication field to tackle the challenges posed by device scaling. It has played an important role in the integration of high-κ gate oxides and Cu metallization in present semiconductor devices. Future device scaling, with the introduction of new materials, will bring new challenges to ALD and will demand enhanced capabilities such as efficient precursor utilization with high throughput.

REFERENCES [1] Puurunen RL. Surface chemistry of atomic layer deposition: a case study for the trimethylaluminum/ water process. J Appl Phys 2005;97:121301. Available from: https://doi.org/10.1063/1.1940727. [2] George SM. Atomic layer deposition: an overview. Chem Rev 2010;110:11131. Available from: https://doi.org/10.1021/cr900056b. [3] Alam MA, Green ML. Mathematical description of atomic layer deposition and its application to the nucleation and growth of HfO2 gate dielectric layers. J Appl Phys 2003;94:3403. Available from: https:// doi.org/10.1063/1.1599978. [4] Muneshwar T, Cadien K. AxBAxB. . . pulsed atomic layer deposition: numerical growth model and experiments. J Appl Phys 2016;119:085306. Available from: https://doi.org/10.1063/1.4942439. [5] Carbone I, Zhou Q, Vollbrecht B, Yang L, Medling S, Bezryadina A, et al. Pulsed chemical vapor deposition of Cu2 S into a porous TiO2 matrix. J Vac Sci Technol Vac Surf Films 2011;29. Available from: https://doi.org/10.1116/1.3609772 051505. [6] Kubala NG, Rowlette PC, Wolden CA. Self-limiting deposition of anatase TiO2 at low temperature by pulsed PECVD. Electrochem Solid State Lett 2009;12:H259. Available from: https://doi.org/10.1149/ 1.3125287. [7] Kim S-H, Hwang E-S, Han S-Y, Pyi S-H, Kawk N, Sohn H, et al. CVD of tungsten thin film as a nucleation layer for tungsten plug-fill. Electrochem Solid State Lett 2004;7:G195. Available from: https://doi. org/10.1149/1.1784053. [8] Elers K-E, Blomberg T, Peussa M, Aitchison B, Haukka S, Marcus S. Film uniformity in atomic layer deposition. Chem Vap Depos 2006;12:1324. Available from: https://doi.org/10.1002/cvde.200500024. [9] Gao F, Arpiainen S, Puurunen RL. Microscopic silicon-based lateral high-aspect-ratio structures for thin film conformality analysis. J Vac Sci Technol Vac Surf Films 2015;33. Available from: https://doi.org/ 10.1116/1.4903941 010601. [10] Ahvenniemi E, Akbashev AR, Ali S, Bechelany M, Berdova M, Boyadjiev S, et al. Recommended reading list of early publications on atomic layer deposition—outcome of the “virtual project on the history of ALD”. J Vac Sci Technol Vac Surf Films 2017;35. Available from: https://doi.org/10.1116/1.4971389 010801. [11] Parsons GN, Elam JW, George SM, Haukka S, Jeon H, (Erwin) Kessels WMM, et al. History of atomic layer deposition and its relationship with the American Vacuum Society. J Vac Sci Technol Vac Surf Films 2013;31. Available from: https://doi.org/10.1116/1.4816548 050818. [12] Seshan K. Scaling and its implications for the integration and design of thin film and processes. Handbook of thin film deposition. Elsevier; 2012. p. 1940. Available from: https://doi.org/10.1016/ B978-1-4377-7873-1.00002-4. [13] Wilk GD, Wallace RM, Anthony JM. High-κ gate dielectrics: current status and materials properties considerations. J Appl Phys 2001;89:524375. Available from: https://doi.org/10.1063/1.1361065.

374

CHAPTER 11 ATOMIC LAYER DEPOSITION

[14] Kohn A, Eizenberg M. Diffusion barriers for ultra-large-scale integrated copper metallization. In: Shacham-Diamand Y, Osaka T, Datta M, Ohba T, editors. Advanced nanoscale ULSI interconnects: fundamental and applications. New York, NY: Springer; 2009. p. 93120. Available from: https://doi. org/10.1007/978-0-387-95868-2_7. [15] Kim H, Detavenier C, van der Straten O, Rossnagel SM, Kellock AJ, Park D-G. Robust TaNx diffusion barrier for Cu-interconnect technology with subnanometer thickness by metal-organic plasma-enhanced atomic layer deposition. J Appl Phys 2005;98. Available from: https://doi.org/10.1063/1.1935761 014308. [16] Waechtler T, Ding S-F, Hofmann L, Mothes R, Xie Q, Oswald S, et al. ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems. Microelectron Eng 2011;88:6849. Available from: https://doi.org/10.1016/j.mee.2010.07.004. [17] Kim H. Atomic layer deposition of metal and nitride thin films: current research efforts and applications for semiconductor device processing. J Vac Sci Technol B 2003;21:2231. Available from: https://doi. org/10.1116/1.1622676. [18] Motamedi P, Cadien K. Structureproperty relationship and interfacial phenomena in GaN grown on Cplane sapphire via plasma-enhanced atomic layer deposition. RSC Adv 2015;5:5786574. Available from: https://doi.org/10.1039/C5RA07709E. [19] Chudzik MP, Krishnan S, Dai M, Siddiqui S, Shepard J, Kwon U. (Keynote) atomic layer deposition trends and challenges in high-k/metal gate and alternative channel CMOS processing. ECS Trans 2014;60:51318. Available from: https://doi.org/10.1149/06001.0513ecst. [20] Kim H, Lee H-B-R, Maeng W-J. Applications of atomic layer deposition to nanofabrication and emerging nanodevices. Thin Solid Films 2009;517:256380. Available from: https://doi.org/10.1016/j. tsf.2008.09.007. [21] Yun SJ, Lim JW, Lee J-H. PEALD of zirconium oxide using tetrakis(ethylmethylamino)zirconium and oxygen. Electrochem Solid State Lett 2004;7:F81. Available from: https://doi.org/10.1149/1.1814591. [22] Kim Y, Koo J, Han J, Choi S, Jeon H, Park C-G. Characteristics of ZrO2 gate dielectric deposited using Zr tbutoxide and Zr(NEt2)4 precursors by plasma enhanced atomic layer deposition method. J Appl Phys 2002;92:5443. Available from: https://doi.org/10.1063/1.1513196. [23] Kim J, Kim S, Kang H, Choi J, Jeon H, Cho M, et al. Composition, structure, and electrical characteristics of HfO2 gate dielectrics grown using the remote- and direct-plasma atomic layer deposition methods. J Appl Phys 2005;98. Available from: https://doi.org/10.1063/1.2121929 094504. [24] Consiglio S, Zeng W, Berliner N, Eisenbraun ET. Plasma-assisted atomic layer deposition of conductive hafnium nitride using tetrakis(ethylmethylamino)hafnium for CMOS gate electrode applications. J Electrochem Soc 2008;155:H196. Available from: https://doi.org/10.1149/1.2827995. [25] Kim S-W, Kwon S-H, Jeong S-J, Kang S-W. Improvement of copper diffusion barrier properties of tantalum nitride films by incorporating ruthenium using PEALD. J Electrochem Soc 2008;155:H885. Available from: https://doi.org/10.1149/1.2975341. [26] Caubet P, Blomberg T, Benaboud R, Wyon C, Blanquet E, Gonchond J-P, et al. Low-temperature lowresistivity PEALD TiN using TDMAT under hydrogen reducing ambient. J Electrochem Soc 2008;155: H625. Available from: https://doi.org/10.1149/1.2940306. [27] Cho S, Lee K, Song P, Jeon H, Kim Y. Barrier characteristics of ZrN films deposited by remote plasmaenhanced atomic layer deposition using tetrakis(diethylamino)zirconium precursor. Jpn J Appl Phys 2007;46:40858. Available from: https://doi.org/10.1143/JJAP.46.4085. [28] Wooho J. Characteristics of HfN films deposited by remote plasma-enhanced atomic layer deposition. J Korean Phys Soc 2010;56:905. Available from: https://doi.org/10.3938/jkps.56.905. [29] Musschoot J, Xie Q, Deduytsche D, Van den Berghe S, Van Meirhaeghe RL, Detavernier C. Atomic layer deposition of titanium nitride from TDMAT precursor. Microelectron Eng 2009;86:727. Available from: https://doi.org/10.1016/j.mee.2008.09.036.

REFERENCES

375

[30] Knoops HCM, Baggetto L, Langereis E, van de Sanden MCM, Klootwijk JH, Roozeboom F, et al. Deposition of TiN and TaN by remote plasma ALD for Cu and Li diffusion barrier applications. J Electrochem Soc 2008;155:G287. Available from: https://doi.org/10.1149/1.2988651. [31] Kwon O-K, Kwon S-H, Park H-S, Kang S-W. PEALD of a ruthenium adhesion layer for copper interconnects. J Electrochem Soc 2004;151:C753. Available from: https://doi.org/10.1149/1.1809576. [32] Moon D-Y, Kwon T-S, Kang B-W, Kim W-S, Kim BM, Kim JH, et al. Copper seed layer using atomic layer deposition for Cu interconnect. In: Nanoelectronics conference (INEC), 2010 3rd international. Hong Kong, China: IEEE; 2010. p. 450451. https://doi.org/10.1109/INEC.2010.5424775 [33] Mao J, Eisenbraun E, Omarjee V, Korolev A, Dussarrat C. Copper-ALD Seed layer as an enabler for device scaling. ECS Trans 2011;339. Available from: https://doi.org/10.1149/1.3633652. [34] Xie Q, Musschoot J, Deduytsche D, Van Meirhaeghe RL, Detavernier C, Van den Berghe S, et al. Growth kinetics and crystallization behavior of TiO2 films prepared by plasma enhanced atomic layer deposition. J Electrochem Soc 2008;155:H688. Available from: https://doi.org/10.1149/1.2955724. [35] Lim JW, Yun SJ, Kim H-T. Characteristics of AlxTi12xOy films grown by plasma-enhanced atomic layer deposition. J Electrochem Soc 2007;154:G239. Available from: https://doi.org/10.1149/1.2776162. [36] Vehkama¨ki M. Growth of SrTiO3 and BaTiO3 thin films by atomic layer deposition. Electrochem Solid State Lett 1999;2:504. Available from: https://doi.org/10.1149/1.1390884. [37] Menou N, Wang XP, Kaczer B, Polspoel W, Popovici M, Opsomer K, et al. 0.5 nm EOT low leakage ALD SrTiO3 on TiN MIM capacitors for DRAM applications. Electron devices meet 2008 IEDM 2008 IEEE Int. IEEE; 2008. p. 14. Available from: https://doi.org/10.1109/IEDM.2008.4796852. [38] Elam JW, Nelson CE, Grubbs RK, George SM. Kinetics of the WF6 and Si2H6 surface reactions during tungsten atomic layer deposition. Surf Sci 2001;479:12135. Available from: https://doi.org/10.1016/ S0039-6028(01)00969-4. [39] Kim S-W, Kwon S-H, Jeong S-J, Park J-S, Kang S-W. Improvement of morphological stability of PEALD-iridium thin films by adopting two-step annealing process. Electrochem Solid State Lett 2008;11:H303. Available from: https://doi.org/10.1149/1.2973336. [40] Klumbies H, Schmidt P, Ha¨hnel M, Singh A, Schroeder U, Richter C, et al. Thickness dependent barrier performance of permeation barriers made from atomic layer deposited alumina for organic devices. Org Electron 2015;17:13843. Available from: https://doi.org/10.1016/j.orgel.2014.12.003. [41] Park J, Lee Y, Chang I, Cho GY, Ji S, Lee W, et al. Atomic layer deposition of yttria-stabilized zirconia thin films for enhanced reactivity and stability of solid oxide fuel cells. Energy 2016;116:1706. Available from: https://doi.org/10.1016/j.energy.2016.09.094. ´ [42] Dı´az B, Ha¨rko¨nen E, Swiatowska J, Maurice V, Seyeux A, Marcus P, et al. Low-temperature atomic layer deposition of Al2O3 thin coatings for corrosion protection of steel: surface and electrochemical analysis. Corros Sci 2011;53:216875. Available from: https://doi.org/10.1016/j.corsci.2011.02.036. [43] Skoog SA, Elam JW, Narayan RJ. Atomic layer deposition: medical and biological applications. Int Mater Rev 2013;58:11329. Available from: https://doi.org/10.1179/1743280412Y.0000000009. [44] Leskela¨ M, Kemell M, Kukli K, Pore V, Santala E, Ritala M, et al. Exploitation of atomic layer deposition for nanostructured materials. Mater Sci Eng C 2007;27:15048. Available from: https://doi.org/ 10.1016/j.msec.2006.06.006. [45] Profijt HB, Potts SE, van de Sanden MCM, Kessels WMM. Plasma-assisted atomic layer deposition: basics, opportunities, and challenges. J Vac Sci Technol Vac Surf Films 2011;29. Available from: https://doi.org/10.1116/1.3609974 050801. [46] Muneshwar T, Cadien K. Influence of atomic layer deposition valve temperature on ZrN plasma enhanced atomic layer deposition growth. J Vac Sci Technol A 2015;33. Available from: https://doi.org/ 10.1116/1.4926382 060603.

376

CHAPTER 11 ATOMIC LAYER DEPOSITION

[47] Kim E-J, Kim D-H. Highly conductive HfNx films prepared by plasma-assisted atomic layer deposition. Electrochem Solid State Lett 2006;9:C123. Available from: https://doi.org/10.1149/1.2206884. [48] Foroughi-Abari A, Cadien KC. In situ spectroscopic ellipsometry study of plasma-enhanced ALD of Al2O3 on chromium substrates. J Electrochem Soc 2012;159:D59. Available from: https://doi.org/ 10.1149/2.035202jes. [49] Kwon J, Dai M, Halls MD, Chabal YJ. Suppression of substrate oxidation during ozone based atomic layer deposition of Al2O3: effect of ozone flow rate. Appl Phys Lett 2010;97:162903. Available from: https://doi.org/10.1063/1.3500821. [50] Murray CA, Elliott SD, Hausmann D, Henri J, LaVoie A. Effect of reaction mechanism on precursor exposure time in atomic layer deposition of silicon oxide and silicon nitride. ACS Appl Mater Interfaces 2014;6:1053441. Available from: https://doi.org/10.1021/am5021167. [51] Knapas K, Ritala M. In situ studies on reaction mechanisms in atomic layer deposition. Crit Rev Solid State Mater Sci 2013;38:167202. Available from: https://doi.org/10.1080/10408436.2012.693460. [52] Kim D-H, Baek S-B, Seo H-I, Kim Y-C. Interactions between tri-methylaluminum molecules and their effect on the reaction of tri-methylaluminum with an OH-terminated Si (001) surface. Appl Surf Sci 2011;257:632631. Available from: https://doi.org/10.1016/j.apsusc.2011.01.032. [53] Nyns L, Delabie A, Pourtois G, Van Elshocht S, Vinckier C, De Gendt S. Study of the surface reactions in ALD hafnium aluminates. J Electrochem Soc 2010;157:G7. Available from: https://doi.org/10.1149/ 1.3246802. [54] Afshar A, Cadien KC. Growth mechanism of atomic layer deposition of zinc oxide: a density functional theory approach. Appl Phys Lett 2013;103:251906. Available from: https://doi.org/10.1063/1.4852655. [55] Elliott SD. Atomic-scale simulation of ALD chemistry. Semicond Sci Technol 2012;27. Available from: https://doi.org/10.1088/0268-1242/27/7/074008 074008. [56] Yanguas-Gil A, Libera JA, Elam JW. In situ FTIR characterization of growth inhibition in atomic layer deposition using reversible surface functionalization. ECS Trans 2013;50:4351. Available from: https://doi.org/10.1149/05013.0043ecst. [57] Tiznado H, Bouman M, Kang B-C, Lee I, Zaera F. Mechanistic details of atomic layer deposition (ALD) processes for metal nitride film growth. J Mol Catal Chem 2008;281:3543. Available from: https://doi.org/10.1016/j.molcata.2007.06.010. [58] Mackus AJM, Heil SBS, Langereis E, Knoops HCM, van de Sanden MCM, Kessels WMM. Optical emission spectroscopy as a tool for studying, optimizing, and monitoring plasma-assisted atomic layer deposition processes. J Vac Sci Technol Vac Surf Films 2010;28:7787. Available from: https://doi.org/ 10.1116/1.3256227. [59] Niinisto¨ J, Rahtu A, Putkonen M, Ritala M, Leskela¨ M, Niinisto¨ L. In situ quadrupole mass spectrometry study of atomic-layer deposition of ZrO2 using Cp2 Zr(CH3)2 and water. Langmuir 2005;21:73215. Available from: https://doi.org/10.1021/la0500732. [60] Matero R, Rahtu A, Ritala M. In situ quadrupole mass spectrometry and quartz crystal microbalance studies on the atomic layer deposition of titanium dioxide from titanium tetrachloride and water. Chem Mater 2001;13:450611. Available from: https://doi.org/10.1021/cm0110461. [61] Li Z, Barry ST, Gordon RG. Synthesis and characterization of copper(I) amidinates as precursors for atomic layer deposition (ALD) of copper metal. Inorg Chem 2005;44:172835. Available from: https:// doi.org/10.1021/ic048492u. [62] Niinisto¨ J, Kukli K, Kariniemi M, Ritala M, Leskela¨ M, Blasco N, et al. Novel mixed alkylamidocyclopentadienyl precursors for ALD of ZrO2 thin films. J Mater Chem 2008;18:5243. Available from: https://doi.org/10.1039/b810922b. [63] Becker JS, Kim E, Gordon RG. Atomic layer deposition of insulating hafnium and zirconium nitrides. Chem Mater 2004;16:3497501. Available from: https://doi.org/10.1021/cm049516y.

REFERENCES

377

[64] Muneshwar T, Cadien K. Low temperature plasma enhanced atomic layer deposition of conducting zirconium nitride films using tetrakis (dimethylamido) zirconium and forming gas (5% H2 1 95% N2) plasma. J Vac Sci Technol A 2015;33. Available from: https://doi.org/10.1116/1.4915122 031502. [65] Wind RA, George SM. Quartz crystal microbalance studies of Al2O3 atomic layer deposition using trimethylaluminum and water at 12 C. J Phys Chem A 2010;114:12819. Available from: https://doi.org/ 10.1021/jp9049268. [66] Elam JW, Groner MD, George SM. Viscous flow reactor with quartz crystal microbalance for thin film growth by atomic layer deposition. Rev Sci Instrum 2002;73:2981. Available from: https://doi.org/ 10.1063/1.1490410. [67] Yousfi EB, Fouache J, Lincot D. Study of atomic layer epitaxy of zinc oxide by in-situ quartz crystal microgravimetry. Appl Surf Sci 2000;153:22334. Available from: https://doi.org/10.1016/S0169-4332 (99)00330-X. [68] Muneshwar T, Cadien K. Probing initial-stages of ALD growth with dynamic in situ spectroscopic ellipsometry. Appl Surf Sci 2015;328:3448. Available from: https://doi.org/10.1016/j.apsusc.2014.12.044. [69] Langereis E, Heil SBS, Knoops HCM, Keuning W, van de Sanden MCM, Kessels WMM. In situ spectroscopic ellipsometry as a versatile tool for studying atomic layer deposition. J. Phys. Appl Phys 2009;42. Available from: https://doi.org/10.1088/0022-3727/42/7/073001 073001. [70] Muneshwar T, Shoute G, Barlage D, Cadien K. Plasma enhanced atomic layer deposition of ZnO with diethyl zinc and oxygen plasma: effect of precursor decomposition. J Vac Sci Technol Vac Surf Films 2016;34. Available from: https://doi.org/10.1116/1.4961885 050605. [71] Elam JW, Routkevitch D, Mardilovich PP, George SM. Conformal coating on ultrahigh-aspect-ratio nanopores of anodic alumina by atomic layer deposition. Chem Mater 2003;15:350717. Available from: https://doi.org/10.1021/cm0303080. [72] Motamedi P, Cadien K. XPS analysis of AlN thin films deposited by plasma enhanced atomic layer deposition. Appl Surf Sci 2014;315:1049. Available from: https://doi.org/10.1016/j. apsusc.2014.07.105. [73] Gusev EP, Cabral C, Copel M, D’Emic C, Gribelyuk M. Ultrathin HfO2 films grown on silicon by atomic layer deposition for advanced gate dielectrics applications. Microelectron Eng 2003;69:14551. Available from: https://doi.org/10.1016/S0167-9317(03)00291-0. [74] Lin HC, Ye PD, Wilk GD. Leakage current and breakdown electric-field studies on ultrathin atomiclayer-deposited Al2O3 on GaAs. Appl Phys Lett 2005;87:182904. Available from: https://doi.org/ 10.1063/1.2120904. [75] Xu ZW, Ngan AHW. TEM study of electron beam-induced crystallization of amorphous GeSi films. Philos Mag Lett 2004;84:71928. Available from: https://doi.org/10.1080/14786430500038088. [76] Shim J, Rivera JA, Bashir R. Electron beam induced local crystallization of HfO2 nanopores for biosensing applications. Nanoscale 2013;5:10887. Available from: https://doi.org/10.1039/c3nr02608f. [77] Lehmuskero A, Kuittinen M, Vahimaa P. Refractive index and extinction coefficient dependence of thin Al and Ir films on deposition technique and thickness. Opt Express 2007;15:10744. Available from: https://doi.org/10.1364/OE.15.010744. [78] Kovalenko SA, Lisitsa MP. Thickness dependences of optical constants for thin layers of some metals and semiconductors. Semicond Phys Quantum Electron Optoelectron 2001;4:3527. [79] International Technology Roadmap for Semiconductors, n.d. www.itrs.net