Formation and stability of silicides on polycrystalline silicon

Formation and stability of silicides on polycrystalline silicon

Formation and stability of silicides on polycrystalline silicon E.G. Colgan a,J.P. Gambino a, Q.Z. Hong b a Semiconductor Research and Development Cen...

5MB Sizes 10 Downloads 91 Views

Formation and stability of silicides on polycrystalline silicon E.G. Colgan a,J.P. Gambino a, Q.Z. Hong b a Semiconductor Research and Development Center, IBMMicroeEectronics Division, East Fishkill, NY 12533, USA b Semiconductor Process and Device Center, Texas Instruments, Inc., Dallas, TX 75243, USA

Received20 July 1995

Abstract Silicidesarewidely usedin siliconintegratedcircuitsascontactsandinterconnections. In manyapplications silicidesareusedon polycrystallinesilicon(polysilicon) suchasthe gatesof FETs andthe emitterof bipolar transistors. Theuseof silicideonpolysiliconstructurespresents anumberof uniquechallenges bothin formation of the silicideandin morphologicalstability duringhigh temperature processing. The purposeof this paperis to review the formation,morphology,andthermalstabilityof silicideson polysilicon.Mechanisms for silicide rougheningon polysiliconarediscussed includingnon-uniforminitial reactions,agglomeration, andsilicide enhancedgraingrowth.Resultsfor silicideson polysiliconarecomparedwith thoseon singlecrystalSi where relevant,A detaileddescriptionof silicideinstability and devicedegradationis presentedfor a numberof silicides,emphasizingTiS$, CoS&, and WSi2.Finally, methodsfor improving the stability of silicideson polysiliconarediscussed. Keywords: Silicides;Polysilicon

1. Introduction Silicides are widely used in silicon integrated circuits as contacts and interconnections. In many applications, silicides are used on polycrystalline silicon (polysilicon) . The use of silicide on polysilicon structures present a number of unique challenges both in formation of the silicide and in morphological stability during high temperature processing. There have been a number of excellent reviews of silicide formation [ l-51, properties [ 3,4 1, and device applications [ 6,7 1. However, to date there has been no detailed review that has specifically considered silicide on polysilicon structures. The purpose of this paper is to review the formation, morphology, and thermal stability of silicides on polysilicon. The first section is an introduction to silicide formation and morphological stability on single crystal Si and polysilicon. A number of topics are presented including silicide processing and applications; silicide properties; silicide formation on single crystal silicon and polysilicon; and silicide morphology stability. The second section discussesdevice degradation due to silicides and focuses on junction leakage and gate oxide breakdown which are associatedwith silicide protrusions or oxidation. Note that silicide protrusions can occur at relatively low temperatures (i.e. below half the melting point of the silicide) and are often observed during silicide formation. The various silicides will be covered individually, with an emphasis on TiSi2, CoSi2, and WSiZ as theseare the most technologically important. The third section is on silicide agglomeration and focuses on sheet resistance and morphology changes during high temperature annealing (at over half the melting point). The fourth section consists of the discussion, which will summarize the results, models, and various means of minimizing silicide protrusions and agglomeration. 1.1. Processing and applications Silicides are mainly used to lower the series resistance of devices. Silicides can be formed selfaligned to polysilicon stripes used for gates, emitters, or local interconnections, thereby reducing the 0927-796X/96/$32.00 0 1996ElsevierScience S.A.All rightsreserved SSDI0927-796X(95)00186-7

44

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

sheet resistance of the stripe. Similarly, silicides can also be formed self-aligned to diffusions, The lower resistance generally results in a higher switching speed for the device. Silicides are also used for Schottky diodes on single crystal Si. An additional benefit is that many silicides can be used as reactive ion etch (RE) stops during via hole etching, becauseof the relatively low volatility of many metal fluorides and chlorides. Silicides can be formed by either a solid-state reaction between a metal and Si, or by codepositing the metal and Si. The solid-state reaction method is used in a salicide process (self-aligned silicide), Fig. 1, whereas the codeposition method is typically used in a polycide process,Fig. 2. The salicide process (Fig. 1) has been used to form contacts to gates, sources, and drains on FETs as well as contacts to emitters, bases,and collectors on bipolar devices. It has also been used to form local interconnections and Schottky diodes. In the salicide process, the gate and source/drain junctions are fabricated (a), then blanket metal is deposited (b), the wafers are annealed to form silicides (no silicide is formed where metal is deposited on insulator) (c) , and the metal over the insulators is removed with a wet etch (d) . An additional annealing is often needed after the wet etch to lower the silicide resistivity. The metaLSi reaction is generally much faster than the metal-SiOa reaction if the metal-SiOz reaction occurs at all. Hence, there is usually a range of anneal conditions that results in a significant metaLSi reaction and a negligible metal-SiOz reaction, In addition, for a number of silicides (Ni, Pt, Co, Ti) wet etches have been developed that etch the metal much faster than the silicide. Therefore, by using the proper annealing and wet etch, silicide is formed only in the contact regions and the unreacted metal is removed outside the contacts. The silicide formation is a self-aligned process because silicide forms only in regions where Si is exposed, Salicide process

Polycide process

a)

Gate, Source & Drain fabricated

a) Deposit gate stack & pattern.

b)

Metal Deposition

b) Thermal oxidation, fabricate sidewall spacer.

First anneal in Nitrogen

c) Ion implantation & annealing.

4

Selective etch &second anneal C54 TiSi,

Fig. 1. Schematicprocessflow for salicideprocess.

Fig. 2. Schematicprocess flow for polycideprocess,

E.G. Co&an et al. / Formation and stability of silicides on polycrystalline

silicon

The solid-state reaction can be very sensitive to impurities suchas oxygen at the metal-Si interface or in the metal itself [ 8-111. Hence, a number of precautions are essential to ensure that the silicide formation is reproducible. The wafers must be dipped in dilute I-IF (and rinsed in water) immediately before loading into the metal deposition system, to minimize the amount of native oxide. In some cases,in-situ sputter cleaning prior to metal deposition is used in addition to the HF dip to ensure that all the native oxide is removed. The metal can be deposited by either evaporation or sputtering. However, it is important that the background pressure of water vapor is low (less than 1 X 10e6 Torr) to minimize oxygen contamination in the film. Finally, annealing must be done in a furnace with a low background concentration of oxygen (10 ppm or less for TiSQ to ensure that the metal does not oxidize during silicide formation. In practice, it is easier to achieve these low oxygen concentrations in a rapid thermal annealing (RTA) chamber than in a tube furnace. Another advantage of RTA over furnace annealing is that many of the silicide reactions are nucleation limited (CoSi, and TiSi2 for example) and therefore require a high temperature but for only a short time. There are a number of advantages of self-aligned silicides compared with other metallizations. Silicides formed by solid statereaction often consume contamination and defects at the original metalSi interface, resulting in low contact resistancefor Ohmic contacts,and reproducible rectifying behavior for Schottky diodes. Relatively planar metal-Si reactions allow metallization of shallow junctions without degradation of the junction electrical properties. Finally, the self-aligned process allows a smaller spacing between conductors than can be achieved by lithography. There are some problems associated with the self-aligned silicide process. Lateral silicide formation can result in shorting of adjacent contacts. Excessive surface contamination or doping can inhibit silicide formation, resulting in nonuniform silicide thickness and high sheet resistance. Nonplanar silicide formation or silicide grain growth during high temperature annealing can result in silicide protrusions into junctions andjunction leakage. For silicides on polysilicon gates,grain growth in the polysilicon can also occur resulting in threshold voltage shifts or gate oxide leakage. These problems are schematically illustrated in Fig. 3 (a) along with the corresponding problems for bipolar devices (Fig. 3(b) ) . a) CMOS

b) Bipolar Advantages: RIE stop & lower series resistance. nt polv-Si

,-- silicide

Disadvantages: Gate oxide breakdown, threshold shift. \

Junction gain decreases, junction leakage. I \

Fig. 3. Schematic representation of salicidesappliedin CMOSandbipolardevices.Theelectricaldegradation dueto silicide protrusionsis indicated.

45

46

E.G. Co&an et al. /Formation and stabiliq of silicides on polycrystalline silicon

The polycide process has been used for the gate contacts on FETs. In the polycide process (Fig, 2.)) the gate polysilicon is deposited and doped by ion implantation, then a silicide and insulator are deposited. The gate stack (i.e. the polysilicon, silicide, and top insulator) is subsequently patterned using lithography and RIE. A brief thermal oxidation is performed after etching to remove damage at the perimeter of the gate oxide causedby the RIE process (a), Sidewalls are formed by depositing an insulator using chemical vapor deposition (CVD) , then removing the insulator from the horizontal surfaces using RIE (b) . Source/drain junctions are formed by ion implantation and annealing (c) , Silicides can be formed on the junctions using a salicide process (d) . The silicide patterning is a selfaligned process because the silicide is aligned with the gate polysilicon using no additional masks. Note that after the gate patterning process, the silicide is exposed to a number of high temperature ( > 800°C) anneals including an oxidation of the sidewalls of the gate, a drive-in to form the source/ drain junctions, and possibly a glass reflow anneal to planarize the interlevel dielectric. The requirement for low levels of contamination is also important for the polycide process, The interface between the silicide and the polysilicon should be as clean as possible, to ensure good electrical contact and to allow diffusion of silicon into the silicide during oxidation, Hence, dipping in dilute HF and possibly in-situ sputter cleaning is required prior to silicide deposition. The silicide can be deposited by coevaporation or cosputtering of the metal and Si, by sputtering from a silicide source, or by CVD. In all casesthe stoichiometry must be well controlled. The resistivity tends to increase if the metal/silicon ratio in the film is too high or too low. In addition, the concentration of background impurities in the film should be minimized to ensure that the lowest possible resistivity is obtained. The requirements on the annealing atmosphere (i.e. oxygen contamination) are not as critical as for the salicide process, because the silicide is intentionally exposed to an oxidizing atmosphere during sidewall oxidation. However, it is important to control the oxidation conditions so that Si is oxidized rather than metal (see Section 1.3.3). Rapid thermal annealing is also beneficial for the polycide process to lower the silicide resistivity. The conversion of the film to the low resistivity phase can be nucleation limited (C49 to C.54conversion of TiS& for example), and requires a high temperature for a short time. There are a number of advantages of using codeposited silicides compared with metallizations, in addition to those listed above. Silicon-rich silicides are thermodynamically stable on Si at high temperatures (800-1000 “C) and therefore are compatible with processessuch as source/drain driveins and glass reflow anneal. Silicides are also generally stable during thermal oxidation and SiOZ can be grown on silicides, which is useful during the sidewall oxidation of gates in FETs. The silicide-Si interface is more planar than that resulting from solid statereaction and no Si is consumed. Hence, the formation of silicide protrusions into the polysilicon during the initial metal-Si reaction is avoided, However, there are also problems associatedwith codeposited silicides. The RlE process can be difficult becauseof the relatively low volatility of metal fluorides and chlorides, resulting in incomplete removal of silicide or sloping sidewalls. The silicide must be lithographically defined. This is not a problem for gate contacts, but is a problem for source/drain contacts, where the silicide must be as close to the sidewall spacer as possible to give the lowest series resistance.High temperature annealing can lead to grain growth in the polysilicon, resulting in threshold voltage shifts or gate oxide leakage [ 81. High temperature annealing can also lead to dopant diffusion into the silicide, and hence, dopant depletion from theunderlying Si, resulting in high contactresistanceor threshold voltage shifts. Finally, oxidation of the silicide can lead to localized consumption and thinning of the gate polysilicon or oxidation of the metal in the silicide [ 3,4]. 1.2. Important properties of silicides There are a number of properties which are important for self-aligned silicides (Table 1) [ 3,4,12], the most important of which is the resistivity. Also of interest is the amount of silicon consumed by

E.G. Co&an et al. /Formation

and stability of silicides on polycrystalline

47

silicon

Table1 Importantpropertiesof commonself alignedsilicides[ 3,4,12] a CoSiz

PdzSi

PtSi

NiSi

Nisi2

TiSiz

14-20

25-35

28-35

14-20

35-50

13-20 C%-TiSiz

3.6

0.7

1.3

1.8

3.6

2.3

Formationtemp. (“C) Melting temp.(“C)

600-700 1326

200-500

300-600

400-600

600-700

1394

1229

992

992

600-700 1500

Eutectictemp. (“C) Schottky barrierheight on n-type Si B.p. of mostvolatile fluoride(“C)

1204 0.64

816 0.74

830 0.87

964 0.67

964 0.7

1330 0.6

CoF2,1400

PdF2,redheat PtF,, 58m

NiF2, 1000s

NiF*, 1000s

TiF,, 284s

B.p. of mostvolatile chloride(“C)

CoCl,, 1049 PdCl,, 500d

NiCl,, 973s

NiCl,, 973 s

Tic&, 136

Thin film resistivity (@cm) Si consumed per nm of metal(nm)

PtCl,, 435m

aThe meltingtemperatureof Si is 1414“C. An s indicatessublimation,d indicatesdecomposition, andm indicatesmelting insteadof boiling.For comparison, theboilingtemperatures of SiF, andSic& are - 86 and58 “C, respectively.

the metal to form the silicide and the formation temperature. The Schottky barrier height is of interest for both ohmic contacts and Schottky diodes. The thermal stability of silicide on polysilicon structures has been found to scale with the melting point of the silicide [ 131. More recent work [ 141 has supported this trend, finding a strong correlation between the thermal stability and the deformation temperature (i.e. the temperature at which stress relaxation occurs). The eutectic temperature, the temperature at which a liquid phase forms in the metalSi system,provides an absolute upper limit to thermal processing. Fluoride and chloride volatility is included as a guide for RIE processing; high volatility at room temperature indicates the silicide can be easily etched by RIE whereas low volatility indicates the silicide will be a good RIE stop. Except for TiS&, all the self-aligned silicides of most interest are near noble metals. For near noble metal silicides, the metal is generally the dominant diffusing speciesduring silicide growth which reduces silicide bridging problems. (A notable exception is the formation of CoSi, where Si is the dominant diffusing species.) In addition, near noble metals react with Si at much lower temperatures than refractory metals, minimizing dopant redistribution in the devices. A nitrogen containing annealing atmosphere is used with Ti to reduce bridging through the formation of a TiN surface layer. The TiN formation competes with TiSiz formation and limits lateral spreading from Si diffusion. The resistivity and reaction temperature listed for TiS& are for the C54 allotrope. Typically, the C49 allotrope (see Section 2.1), with a resistivity of 60-300 ,uQ cm [ 1.51forms initially, then transforms to the C54 crystal structure at 650-800 “C [ 161. Because of its low resistivity, high reactivity (with native oxide for example), and high temperature stability, Ti is the most widely used metal for the salicide process.Equivalent properties are listed in Table 2 [ 3,4,12] for important polycide materials. The work function of the silicide is included becausethis affects the threshold voltage of FETs with silicide gates. (For polycide gates, the threshold voltage is determined by the polysilicon alone.) All of the polycides are refractory metals, because of the high temperature processing required and need for easy RILEpatterning. The most common of these is WSi2 for which a conformal CVD deposition processis widely used in manufacturing. Another important characteristic of silicides is the dominant moving speciesduring silicide formation, these are identified in Table 3 and discussed in Section 1.3.1.

48

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

Table2 Importantpropertiesof commonpolycides[3,4,12] a

Thin film resistivity (~a cm) Melting temp.(“C) Eutectictemp.(“C) Work function(eV) B.p. of mostvolatile fluoride (“C) B.p. of mostvolatilechloride or oxychloride (“C)

MoSi,

TaS&

TiSiz

WS&

100-120

50-60

13-20 C54-TiS&

60-70

2020 1400 4.73

2040 1400 ,4.71

1500 1330 3.95

2160 1390 4:62

MoF,, 34

TaF,, 229

TiF,, 284s

wl.%,18

MoOCl,, 100s

TaCls,239

TiCL,, 136

WOCl&228

aThe meltingtemperatureof Si is 1414“C. An s indicatessubliminationinsteadof boiling. For comparison,the boiling temperatures of SiF, andSic& are - 86and58 “C, respectively. Table3 Silicidephasesequence for thin metalfilmson singlecrystalSi [l-4, 17-201 Ti

V

Cr

co

Ni

Ti,Si, (?) TiSi ‘I?%, t,Jt(?)

v&i, t, Jr

CrSiz t

@,Si Jt CoSi Jt c&t -+

g,Si Jt Nisi Jt, t YjSi, t *

Zr

Nb Nba,

MO Meg, t

Rh RhSi Jt Rh& * Rh& *

Pd

Ta TaSiz t

w

Ir

rt

wsi, t, Jt

IrSi Jt Irsil 15*

Pt,Si Jt ptSi Jt

ZrSi,

Hf HfSi Jt HfSi, *

Pd,Si Jt PdSi+

The silicidesarelistedin theorderof their appearance from the top down.Thediffusingspecies duringsilicideformationis underlined.* indicatesthat silicideformationoccursin a laterallynonuniformmanner. Whereknown,thereactionkinetics,t or Jt, areindicated. WhetherT&S& andTiSi form beforeTiSi* in a cleansystemis not clear.The growthkineticsof TiSi, arelinearon single crystal Si andJt on cr-Si. The diffusingspecies duringPd$i formationis probablyboth PdandSi.

1.3. Silicide

and polycrystalline

silicon formation

Not only are the silicide material properties important, but the formation of the silicide and the formation of polysilicon are also critical. Silicide formation on single crystal Si is well understood, k&y issues include the kinetics, dominant moving species,and the phase sequence.The deposition of polysilicon is’important as the microstructure can strongly influence the subsequent morphological stability of silicide/polysilicon structures during annealing. Localized reactions with silicide/polysilicon structures can cause silicide protrusions into the polysilicon during silicide formation and voids in the polysilicon during oxidation. In the next sections silicide formation on (Si) will be briefly reviewed, the formation of polysilicon outlined, and common problems during silicide formation and oxidation on polysilicon described.

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

49

1.3.1. Silicide formation on single crystal silicon The formation of silicides on single crystal Si has been studied extensively, and many excellent reviews [l-57 are available. There are a number of important issues in silicide formation: kinetics; dominant moving species; and the phase sequence. The reaction kinetics can either be limited by diffusion (thickness proportional to (time) “.“) or interfacial reactions (thickness proportional to time) or nucleation. For the diffusion limited case,silicide formation occurs over a broad temperature range and with a relatively planar interface and well defined kinetics. With an inter-facial limited reaction, the reaction proceeds in a layer-by-layer manner limited by the growing interface, not diffusion through the silicide layer. In the case of nucleation controlled reactions, silicide formation takes place above a critical temperature in a narrow temperature range and with a rough interface. The dominant moving species during silicide formation can be measured by inert marker experiments. For refractory metals (forming MS& initially) Si is the diffusing species.With near noble metals (except perhaps Pd) , the metal is the diffusing species. These general characteristics are illustrated in Table 3 [l-4,17-20] where a * indicates that silicide formation occurs in alaterally nonuniform manner, the time dependence of the reaction is given, and the diffusing species is underlined where known. The silicides are listed in the order of their formation for a thin metal film on a Si substrate. Not all the phases on the phase diagram are formed and in thin films only one phase grows at a time. With the exception of Pd, when the first silicide formed is richer in one element than in the other, that element is the diffusing species. This can be understood in terms of the ordered Cu,Au rule [21]. The phase sequence is not solely dependent on kinetics; the thermodynamics and nucleation must also be considered. 1.3.2. Polycrystalline silicon (polysilicon) Polysilicon is used in CMOS devices for gate electrodes, local interconnections, and resistors. It is also used in bipolar transistors as a diffusion source and contact for the emitter and the base. For all of these applications, silicides are often formed on all of or on part of the polysilicon. The reactions between metals or silicides and polysilicon often depend on the grain size and doping of the polysilicon. Polysilicon is generally formed by low pressure chemical vapor deposition (LPCVD) via pyrolization of silane (SiHJ at temperatures between 600 and 650 “C [ 221. Films deposited at or above 625 “C have columnar grains, with the grain sizedepending on the thickness. For the thicknessesused in the above applications (100-500 nm), the grain size is typically between 10 and 50 nm. Films deposited at or below 575 “C are amorphous. In most applications, silicides are formed on doped polysilicon. The polysilicon can be doped by ion implantation, by diffusion from a solid source (phosphorous-doped SiOZ for example), or by the addition of dopant gasesduring deposition (in-situ doping). In all casesa high temperature (800 “C or above) is needed to activate the dopants. Grain growth occurs during the anneal, with the final grain size depending on the annealing time and temperature, and the dopant concentration. Enhanced grain growth is observed for As- or P-doped polysilicon compared with undoped polysilicon; boron has little or no effect on polysilicon grain growth [ 231. 1.3.3. Silicide formation and oxidation on polysilicon For silicide formation on polysilicon, the thermodynamic driving force should be very similar to that on single crystalline Si. Although the polysilicon is at a higher energy statethan single crystalline Si, the difference is small compared with the heat of formation of silicides. A rough estimate of the grain boundary energy of polysilicon per unit volume is E=UIr

(1)

50

E.G. Colgan et al. /Formation

and stabiliv

of silicides on polycrystalline

silicon

where A, the grain boundary energy for Si, is 0.3 J mm2 [ 241, and r the average grain size of typical CVD deposited polysilicon is around 20 nm. E is calculated to be 30 J cmM3 or 0.36 kJ mol-‘, which is about an order of magnitude smaller than the difference in the heats of formation of Nisi and NiS&, and two orders of magnitude smaller than the heat of formation of a typical silicide. Therefore, from the point of view of thermodynamical driving forces, the introduction of polysilicon does not affect the silicide formation. temperature and phase sequence.This should be compared with amorphous Si (heat of crystallization: 10.6 kJ mol-‘) which reduces the formation temperature of NiSiz by almost 400 “C as a result of the increased thermodynamical driving forces [ 251. For non-nucleation controlled silicidation, the phase formation temperature and sequence are determined by diffusion in the silicides. It has been demonstrated that the growth kinetics of some silicides are different on ( 111) and (100) Si [ 261. This was attributed to different microstructures of the silicides on different Si substrates.However, the difference in kinetics is usually small (a factor of 2)) and the activation energy is nearly the same, indicating a similar diffusion mechanism. In light of the above arguments, diffusion in silicides on polysilicon is expected to be similar to that on (Si). Indeed, the phase formation temperature, the identity of moving species,and phaseformation sequence are almost the same in the two cases. Assuming that the metal and Si react (i.e. no contamination), the major problem during silicide formation is silicide protrusions, which results from a nonuniform reaction between the metal and polysilicon. These protrusions can degrade device performance, Fig. 3. Protrusions can result from ( 1) discontinuous interfacial oxide, (2) preferential diffusion along certain silicide grain boundaries, (3) preferential diffusion along certain polysilicon grain boundaries, and (4) nucleation-controlled reactions. Note that except for mechanism (3), these all can also cause interface roughening for silicides on single crystal Si. A number of mechanismsto explain silicide protrusions hasbeen proposed [ 271, three of the most compelling mechanisms are illustrated in Fig. 4. The final morphology may be dependent on the dominant moving speciesduring the silicide growth; extreme casesare schematically illustrated for metal or silicon only motion. Recall that the dominant diffusing species during TiSi2 formation is Si, and during CoSi, formation is Co (Table 3). A nonuniform silicide reaction could result from variations in the native oxide thickness on the polysilicon (Fig. 4(a) ), enhanced silicide formation at the silicide grain boundaries (Fig. 4(b) ), or nucleation limited growth (Fig. 4(c) ) . The enhanced silicide formation at the silicide grain boundaries is due to locally enhanced transport of metal or silicon along the grain boundaries. Note that this does not necessarily occur along all grain boundaries and the severity of the protrusions will increase with the silicide grain size [ 28,291, Other possible problems include voids in the polysilicon, variations in doping concentration in the polysilicon, or silicide enhanced polysilicon grain growth (which is a type of agglomeration). For a polycide process, the silicide is oxidized, Fig. 2(b) . This is of most importance for WS&, which is the most commonly used silicide for the polycide process.The thermal oxidation of silicides on Si [ 30,3 l] and Si02 [ 301 have been reviewed in detail. Under controlled conditions, silicide layers on Si can be oxidized with the formation of Si02 on the top surface. The silicide layers remain apparently unaffected by this process; they appear to become buried under the growing oxide film. The presence of a discontinuous diffusion barrier between the silicide and Si, or polysilicon, such as a native Si02 layer, can result in void formation due to localized Si transport, Fig. 5 (a). The dominant moving speciesduring oxidation can be Si or metal [ 30,311. Even with void formation in the polysilicon, for WSi2, a uniform layer of Si02 was formed on the silicide; this was attributed to rapid lateral diffusion of the Si in the silicide [ 321. Another general problem is the reoxidation of a WSi2,6 film after removing the initial Si02 layer, Fig. 5 (b) . After the initial oxidation, the composition is changed to WSi2.2 and the Si is supplied by grain boundary diffusion from the underlying polysilicon layer [ 33,341. If the SiOZ is removed and the oxidation rate is high enough, adequate Si cannot be provided

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

a) Variable native oxide at interface

b) Enhanced silicide grain boundary reaction

c) Nucleation limited

Fig. 4. Schematicdrawingof the silicideprotrusionsin polysiliconduringsilicideformation.The morphologydependson whetherthe metalor siliconmoves.The crosshatchedareasrepresent eithermetalor silicide.

by diffusion and the silicide layer is oxidized, resulting in volume expansion and delamination, Fig. 5(b). 1.4. Morphological

stability

of silicides

The silicide morphology is affected not only by interface roughening during the initial silicide formation, but also by high temperature treatments after silicide formation. Morphological changes after the initial silicide formation could be due to silicide agglomeration which is driven by a reduction of surface energy or silicide enhanced grain growth in the polysilicon. The first mechanism occurs for silicides on single crystal Si or polysilicon whereas the second mechanism is unique to silicides on polysilicon. These morphological changesgenerally occur at temperatures above those at which silicide formation occurs and are important for determining the thermal stability of a silicide/polysilicon or (Si) structure. A number of morphologies can result from high temperature annealing and depend on the initial structure and dominant moving species (for silicides on polysilicon) . The thermodynamical driving force for morphological change during annealing also depends on the structure. Silicide agglomeration on (Si) is thermodynamically driven by the minimization of the total surface/interface energy of the silicide and (Si) and begins with grain boundary grooving and eventually results in island formation. With silicides on polysilicon, reduction of the grain boundary energy of polysilicon

51

E.G. Colgan et al. /Formation and stability of silicides on polycrystalline silicon

52

a) Variable native oxide at interface

Oxidation

7

b) Silicide oxidation

Oxidation

$

Etch &Oxidation

t

Fig. 5. Schematicdrawingof problemsduringoxidationdueto a partialba.rrierto Si diffusion(a) andrapidoxidationafter removingtheinitial oxide leadingto silicideoxidationanddelamination(b) .

by grain growth is the initial dominant driving force and polysilicon grain growth can lead to layer inversion between the silicide and the polysilicon or silicide deformation, depending on the identity of the dominant moving species.Further annealing (at higher temperatures) of silicides on polysilicon structures ultimately results in a complete mixing of the two layers with large grains of both materials extending through the complete thickness of the films and is driven by minimization of the total surface/interface energy of the silicide and polysilicon. The next sections will discuss silicide grain boundary grooving and island formation on (Si), silicide inversion and deformation on polysilicon, and silicide mixing with polysilicon. 1.4.1. Silicide agglomeration on single crystal silicon Agglomeration is perhaps best illustrated with silicide/(Si) structures, where the morphological rearrangement is only driven by the reduction in surface and interfacial energy, Fig. 6. Grain boundary grooving and dewetting of materials during annealing are examples of the general phenomenon of surface and interfacial energy driven morphological rearrangement. Agglomeration starts with grain boundary grooving in the silicide, followed by grain separation and finally formation of silicide islands. There is epitaxial regrowth of Si between the silicide islands as they separate and grow into the substrate,below the original silicide/ (Si) interface. The degradation mechanism is believed to involve the following simultaneous processes [ 351: dissolution of Si in silicide, transport of Si atoms in the silicide, precipitation and epitaxial growth of Si, and deformation of silicide. Alternatively, if metal diffusion occurs, Si could be extracted from the silicide at the regrown Si interface and metal would be redistributed. Note that the morphological degradation which occurs on (Si) takes place at a higher temperature than that on polysilicon; this is probably due to the increased driving force for degradation provided by the polysilicon grain growth. A number of models [ 36-431 based on surface/interface energies, grain boundary grooving, and the silicide grain size relative to the initial film thickness have been proposed to predict the onset of island formation for silicides on single crystal Si. The general approach used has been a balance of

E.G. Colgan et al. 1 Formation and stability of silicides on polycrystalline

Silicide

island

formation

silicon

on

Fig. 6. Schematicdrawingof silicideagglomeration on singlecrystalSi.

forces from the surface/interface energies. Both silicide islands [36-39,421 and silicides with just gram boundary grooving [40,41] have been considered. These situations are illustrated in Fig. 7, where the surface energy terms (us, un u,, and Usi correspond to the silicide free surface, silicidesilicide boundary, silicide-silicon interface, and silicon free surface, respectively), contact angles, and their geometric relationships are indicated.

b)

Fig, 7. Schematicdrawingof grainboundarygrooving,islandformation,andtherelevantsurfaceenergiesandcontactangles.

53

E.G. Colgan et al. / Fonnation and stabiliiy of silicides on polycrystalline silicon

54

The grain boundary grooving models [40,41] predict that agglomeration can be delayed or prevented by increasing the film thickness, or gs and gI, or reducing the grain size and gB, However, the silicide island models [ 36-39,421 suggest that a smaller us and gI and a large (+si prevent the silicide inland formation. These contradictory predictions will be discussed further in Section 4.3. Also, the improved stability with a decreased grain size [44] is paradoxical as that will be a higher energy statethan larger grains. The groove width as a function of annealing time and temperature has been studied for TiSiz on (Si) [ 451. A (time) 1’4dependencewas observed which indicates that grain boundary grooving is due to surface diffusion [46,47]. If surface diffusion is the dominant transport mechanism during island formation, then the degradation mechanism proposed by Revesz [ 351 (described above) will need to be modified to reflect the fact that the atomic transport is not b the silicide, but rather along the surface of the silicide and/or the interface between the silicide and Si. Island formation, and the grain boundary grooving which precedes it, are increasingly well understood for (Si) and provide a clear example of some of the mechanisms which also operate in silicide/polysilicon structures. 1.4.2. Silicide

inversion and deformation

on polysilicon

For a silicide film on polysilicon, not only is the reduction of the silicide surface energy involved, but the grain boundary energy of the polysilicon can also be reduced by grain growth. Let us initially consider the case where only polysilicon grain growth occurs and one species (metal or silicon) moves much faster than the other. With these restrictions, different intermediate morphologies will result depending on the dominant moving species,Fig. 8. With fast metal diffusion, the silicide dissociatesat the silicide/Si (regrown) interface and silicide grows at the silicide/polysilicon interface [48]. This would result in the metal redistribution and Si grain growth. Note the original free surface would be preserved and large, flat Si grains with a thickness approximately equal to the initial silicide thickness would be formed. An extreme case of this is inversion, where the resulting surface layer is Si with the silicide underneath. Note that inversion is driven solely by the polysilicon grain growth. The caseof polysilicon grain growth with metal moving is similar to solid phase epitaxy (SPE) with a growth medium [49,50], such as occurs with Al or Au/ polysilicon structures [51,52] when the metal layer is thinner than the polysilicon. For polysilicon grain growth and inversion to occur, the temperature must be high enough to permit rapid metal diffusion in the silicide. This similarity will be discussed in Section 4.2. In analogy with SPE, for a silicide layer thicker than the polysilicon, large Si grains in a silicide matrix would be expected to result from polysilicon grain growth. In the case of fast silicon diffusion, the Si diffuses through the silicide with no metal motion, This results in an approximately constant thickness of silicide over the growing Si grains (Fig. 8) with the original free surface location changing. The Si grain growth below the silicide requires deformation of the silicide layer, which occurs around 0.6 Smelt[ 131. Again the morphological rearrangements described are driven solely by the polysilicon grain growth. The presence of the silicide, which acts as a transport medium, causesenhanced grain growth in the polysilicon. For an equivalent silicide film, the amount of morphological degradation increases as the initial polysilicon grain size decreases. 1.4.3. Silicide agglomeration

on polysilicon

With silicide films on polysilicon, the situation is much more complex than those described above. Silicide grain boundary grooving and island formation and silicide inversion and deformation due to polysilicon grain growth can occur nearly simultaneously. Silicide morphological changes depend on the interface energy between the film and the polysilicon, which will be different for polysilicon grains

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

with different orientations. Not only can polysilicon grain growth occur, but the silicide grains can also grow. With high temperature annealing, it is no longer clear that the dominant moving species during silicide formation remains dominant. Also additional mechanisms,such aspartial agglomeration [ 28,411, have been observed with thin Co films where a thin Co layer is isolated from the growing CoS& layer by a band of voids, and island formation occurred independently of the CoSi, layer. Idealized mechanisms for silicide island formation on (Si) and silicide enhanced polysilicon grain growth (leading to inversion or silicide deformation) have been described. For silicide films on polysilicon, the equivalent of island formation on (Si) is large grains of both polysilicon and silicide extending vertically through the whole structure where the silicide is discontinuous laterally (mixing), Fig. 8. In Fig. 9 R, versus temperature is plotted for an in-situ resistance measurement of Ni/polysilicon/Si02 annealedat 1 “C s-i to 700 “C [ 141. Silicide formation occurred at temperatures between 250 and 350 “C, resulting in Nisi. The sharp peak in R, near 600 “C is due to layer inversion. The resistance increase during inversion is due to a discontinuous silicide layer, the resistance is reduced when a continuous or nearly continuous silicide layer is reestablished under the polysilicon layer (see Fig. 8). The further increase in resistance at temperatures above 600 “C corresponds to agglomeration (mixing) when the silicide layer again becomes discontinuous. Unlike this example, these processes will not always occur sequentially; in many casesit can be very difficult to distinguish between changes due to enhanced polysilicon grain growth and complete mixing of the silicide and polysilicon. AddiPolycrystalline

Metal

moves

Si Grain

-_~-

r

Growth

Si moves

I

“Mixing” I

Fig. 8. Schematicdrawingof the agglomeration of silicideon polysiliconthe final morphologydependson the dominant movingspecies.

55

E.G. Colgan et al. /Formation and stability of silicides on polycrystalline silicon

56

30 nm Nil 175 nm poly-Si Heated

1 “C/m to 700°C

Mixing Nil?!, qgty-si ri

0 200

300

400 Temperature

500 (“C)

600

i IO

Fig. 9. Plot of R, versustemperature for Ni/polysilicon/Si02heatedat 1 “C rnin- ‘. Thephaseformationandmorphological changes areindicated.Redrawnfrom Honget al. [ 141.

tionally, these processes can be modified by impurities, the annealing atmosphere, and mechanical stresses. 2. Evidence for device degradation due to silicides Although silicides have the beneficial effect of reducing device series resistance, silicides on polysilicon can also degrade device yield. There are a number of device degradation mechanisms associated with silicides, including localized silicide protrusions in the polysilicon, and stress and peeling associated with the oxidation of the metal in the silicide. Localized silicide protrusions are a potential problem for all silicides, but are generally most serious for near noble metal silicides as well as TiSi2. Peeling and stressassociatedwith oxidation is a serious problem for WSi2. Reactions between metals and silicon always result in some degree of roughness at the silicidesilicon interface. For a given silicide, the roughness is expected to be greater when formed on polysilicon than when formed on single crystal silicon, because of the grain boundaries and variety of grain orientations in the polysilicon. Silicide protrusions can also occur during subsequent annealing or oxidation. Nonuniform silicide on polysilicon devices can affect device yields if the silicide protrusions extend through the polysilicon. Silicide protrusions in polysilicon gates can cause flatband shifts in FETs. Silicide protrusions in polysilicon emitters can result in low current gain and emitter-base leakage. Electrical measurementsare frequently used to detect silicide protrusions that extend through the thickness of the polysilicon; the low defect densities make such silicide protrusions difficult to observe by physical or chemical analysis. The reverse leakage current (J,J of shallow diodes and either the breakdown voltage (V,) or flatband voltage (V,,) of MOS capacitors are generally sensitive to the presence of protrusions. Obviously, protrusions will not extend through the thickness of the polysilicon for very thin silicides on very thick polysilicon. However, in devices it is usually desirable to have the silicide reasonably thick, to give the lowest series resistance,and the polysilicon reasonably thin, to simplify the patterning process (i.e. polysilicon RIE) and minimize topography. Therefore, in device fabrication with a given silicide thickness and set of annealing or oxidation steps, it is important to know the minimum

polysilicon

thickness required to avoid device degradation

due to protrusions.

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

Table 4 contains a nonexhaustive literature summary of device degradation due to silicides and Table 5 summarizes reported defect densities for silicide device degradation. In Table 4, the sample configuration, experimental techniques, and major topics discussed are noted for the various silicide systems.The defect density results from different investigators are difficult to compare because of the wide variations in sample preparation techniques and structures used. 2.1. Device degradation due to TiSia TiSi2 is the most commonly used silicide for salicide processesbecause it has low resistivity and relatively high thermal stability. In addition, Ti is very effective at reducing native oxides on contacts. There are two phases of TiS&, corresponding to different crystal structures: a base-centered orthorhombic C49 structure and a face-centered orthorhombic C.54structure. The C49 phase forms first and has a high resistivity (60-300 $l cm) whereas the C.54 phase has low resistivity ( 15-35 @ cm). Self-aligned TiSiz is generally formed with a two-step annealing process for two reasons: one reason is that Si is the dominant moving species during silicide formation, which results in excessive lateral silicide formation and shorting of adjacent contacts (bridging) if the initial annealing temperature is too high; the other reason is that the conversion to the low resistivity phase of TiSi;, (C-54) is nucleation limited, requiring high temperature annealing to ensure complete conversion. Two-step annealing makes it possible to minimize lateral silicide formation while at the same time completely converting the TiSi2 to the C.54 phase. The first annealing is generally between 600 and 700 “C in nitrogen and results in the formation of the C49 phase of TiS&. A nitrogen atmosphere is used to prevent bridging; nitrogen diffuses into the Ti between the contacts, forming TiN, and blocks the lateral diffusion of Si. Because of the nitrogen atmosphere used to form the silicide, a TiN/TiS& bilayer is formed over the contacts rather than TiSi2 alone. The TiN layer may contain a significant amount of oxygen if there is oxygen in the as-deposited film or in the annealing atmosphere [ 531. The TiN layer on top of the silicide and between the contacts is removed by a wet etch. The fraction of as-deposited Ti that is converted to TiN depends on the Ti thickness and annealing temperature. The fraction increases as the Ti thickness decreases and as the annealing temperature decreases. Finally, a second annealing is performed at a higher temperature (usually above 800 “C) to convert the TiS& to the low resistance C54 phase. Higher temperatures are needed for the conversion to the C54 phase as the silicide thickness decreases, as the line width decreases, or as the concentration of n-type dopants increases (i.e. > / 1 X 102’ cmm3 for As). A common problem during high temperature annealing ( > 850 “C) of TiSi2 on polysilicon is that TiSi2 protrusions form in the polysilicon. The problem is observed for TiSi2 formed by either the salicide process (i.e. Ti deposited on polysilicon and reacted to form TiSi,) [ 54-581 or the polycide process (i.e. TiSi, deposited on polysilicon and annealed) [ 59-631. If the protrusions extend deep enough into the polysilicon, they can cause gate oxide leakage (for TiS& formed on polysilicon gates) or junction leakage (for TiSi, formed on polysilicon contacts). The depth that TiSi, protrudes into polysilicon depends on the silicide thickness [ 54,561, the annealing conditions during silicide formation [ 56,59,60], the doping of the polysilicon [ 641, and the grain size of the polysilicon [ 631. For MOS devices with TiSip/polysilicon gates, TiSi2 protrusions can cause a dramatic increase in gate oxide leakage currents. The leakage is probably due to TiSi2 coming in contact with the gate oxide. The mechanism by which the TiSi2 degradesthe gate oxide is unclear. One possible mechanism is stress.There is a large discrepancy due to the difference in thermal expansion between TiSiz and Si [ 651. Another possibility is that there is interdiffusion between the TiSi2 and Si02, although such a reaction between TiSi2 and Si02 is unlikely: the ternary phase diagram for the Ti-Si-0 system reveals that a reaction between Si02 and TiS& is thermodynamically unfavorable [ 661.

57

58

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

Table4 Devicedegradation dueto silicidesa Structure

Techniques

Topic

Reference

Ti/poly-Si/SiOz, (Si) Ti/poly-Si, (Si) Ti/poly-Si, (Si) Ti/poly-Si/Si02 Ti/poly-Si Tilpoly-Si/Si02 TiSi,,2/poly-Si/Si02, TiSi2,2/a-Si/poly-Si/Si02 TiSi,/WSi,/poly-Si/SiO, TiSi&poly-Si/Si02 TiSil,s-1,9/poly-Si/Si02

R,, 8, fiS, RBS, JL, VT

V, vs. poly-Sithickness JL vs, annealandpoly-Si thickness microstructure, poly-Si doping V, vs. annealandpoly-Si thickness R, vs. process vBvs. 1 or 2 stepRTA + furnace oxidationof TiS&, V, vs. poly-Si thicknessandanneals WSi, betweenTiSi, & poly-Si N2I/ I of poly-Si VB vs. annealing andpoly-Si thickness,morphology

[541 II551 [64,691

Co/(Si)

self-alignedprocess integration

[701

Co/(Si) Co/(Si)

JL, SEM, TEM, XRD, SIMS, RBS,R,, R, Stress,TBM, SEM SIMS, RBS,TBM, SEM,JL, I-V

[741 [75,761

Co/ (Si), poly-Si Co/ (Si), poly-Si

JL, R,, R,, V,, SEM, SIMS R,, TEM, AES, RBS, XRD, JL,

Colpoly-Si Colpoly-Si Co/poly-Si/Si02

Stress V,, JL, TEM, SIMS JL, TBM, SEM VB,RI=, R,

stressvs. temp,dislocations As III of Co/Si, smootherandfiner grainCoSis,shallowjunctions self-alignedprocess integration self-alignedprocess integration comparison w/TiSi, npngainvs. CoSi, thickness JL vs CoSi, thickness, morphology V, andlayerinversion

Pt/poly-Si Pt/poly-Si Ptfpoly-Si

AES, TEM, XPS, SIMS JL, DLTS, TEM SEM,EDX, TBM, JL

oxidationkinetics,morphology JL vs. annealingT spikingvs. process conditions

MoSiJpoly-Si/Si02 MoSi,/poly-Si/SiO, Mo/MoSi,,6/poly-Si/Si0,

V,, TEM, AES V,, R,, SEM,TEM, SIMS

V, vs. poly thickness, oxidation V, vs. poly thickness, I/I into MoSi,

VB,VT,ms, T’EM,ms, R,

MoSi, barrierto reaction

WSi,/poly-SilSiO?, WSi,/poly-Si, (Si), SiOz WSi2.1-2,2/po1y-Si

V,, TBM, AES, Stress SEM SEM

[831

WSi2,6/poly-Si,(Si) WSi2/poly-Si/Si02

SEM, TEM, XRD, Ion p-probe V,, SEM, AES

WSi2,,/poly-Si/Si02 WSiJpoly-Si/Si02

V,, C-V, R,, SIMS, AES, TEM

VB vs. poly thickness, oxidation oxidation,voidsin poly-Si oxidation,voids,Si andSi02 caplayer oxidationmorphology V, vs. annealambient,Si precipitates N2andO2anneals, morphology V, vs. annealandSiOzthickness

Ta, Ta3,Si67/poly-Si/Si02

R,, SEM,TBM, V,, VFB, IGPET

[ 101,102] [ 101,102]

TaSiJpoly-Si/SiO,

R,, XRD, AES, TEM, SEM,RBS

thermalandelectricalstability oxidation morphology,crystalization

R,, JL, Rc, AES, RBS, SEM

4, TEM VB R,, SEM, TEM, AES, SIMS, R, V,, SEM, XRD, R,, SIMS V, , SEM, AES vB

V,, TEM, XPS, R,

v,, RBS, XRD, SEM,TBM

VB,TJW A=, R,

::;; [581 [59,601 i:i; 1631

PI,721 [731 [77,781 [791 [801

[r;;; tz; [@I

[lOOI

[lo31

aMSi,indicatescosputteringcomposition, R, is contactresistance, R, is sheetresistance, JL is reversediodeleakage,VT is thresholdvoltage,VB is dielectricbreakdownvoltage,V,, isflatbandvoltage,DLTS standsfor deeplevel transientspectroscopy,andIII standsfor ion implantation.Commonmethodsof physicalandchemicalanalysisareRutherfordbackscattering spectroscopy(RBS), augerelectronspectroscopy (AES), secondaryion massspectrometry(SIMS), scanningelectron microscopy(SEM), transmission electronmicroscopy(TBM), X-ray photoelectron spectroscopy (XPS or ESCA), andXray diffraction (XRD).

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

59

To avoid device degradation, TiSiz protrusions into polysilicon can be controlled by using appropriate Ti thicknesses, polysilicon thicknesses, and annealing conditions. The grain size of the polysilicon is probably also important, with larger grains resulting in less device degradation [ 631. The TiSi2 phase may also be important; there is evidence that protrusions are more likely to form from C49 TiSiz compared with C.54TiSiz [ 581. For polycide structures,the protrusions can also be controlled by using diffusion barriers such as WSi, [ 611 or S*, [ 621. Defect densities associated with TiSi, protrusions through polysilicon determined according to gate oxide yields (i.e. - (ln( yield) ) /area) aregiven in Table 5. As expected, defect densities generally increase with annealing temperature and Ti thickness, and decreasewith polysilicon thickness (Table 5). In addition, more protrusions are observed for annealing in oxygen compared with annealing in a nonoxidizing atmosphere [ 59,601. For low temperature annealing (800 “C and below), the defect densities are generally close to those for devices without silicide when the polysilicon thickness is 250 nm or more and the Ti thickness is 50 nm or less.In all the studies, TiSi2 was formed in N2, to prevent excessive lateral silicide formation [ 671. For a 50 nm Ti layer, only about 30 nm is available to react with Si, assuming that 20 nm of Ti was converted to TiN. The 30 nm of Ti which reacts with Si forms 75 nm of TiS& and consumes 67 nm Si. The fact that TiS& protrusions occur for polysilicon thicknesses much greater than 67 nm indicates that Si consumption during TiSiz formation is very nonuniform, Similar results have been reported for TiS& formed on pf -n diodes in single crystal Si [ 681. No leakage is observed for 250-nm deep junctions when the Ti thickness is 60 nm or less. Hence, the depth to which TiSi, protrusions extend in polysilicon or single crystal Si appears to be similar for low temperature anneals (800 “C or less). Table5 Defectdensitydueto devicedegradationby silicides Ref.

Thickness(nm)

[541a

TiS&

0 (control) 133 178 200

1621b

Defectdensity(cm-‘)

450 450 450 450

< 100
TiS&

200 200

I.561’

Ti

I551d

Ti

[791"

Initial poly thickness (nm)

0 (control) 35 35 35 0 (control) 50 50 50 co 12 25 25

200 200

Anneal

No I/I

7 20

900“C, 1 h 1000“C, 1 h

150 150 150 150

300 300 300 300

19 43 43 215

19 40 32 10

none 700“C 750“C 800“C

150 150 150 150

250 250 250 250

4 17 180 >180

27 53 39

none 800“C 850“C 900“C

200 300 200

600-800“C 600-800“C 600-800“C (continued)

E.G. Colgan et al. /Formation

60

and stability of silicides on polycrystalline

silicon

Table5 (continued) Ref.

Thickness(nm)

[27] f

Pt 45 45 45 45 45

[841g

P31h

Initial poly thickness(nm) 180 180 180 180 180

MoSiz

200 200 200 200 200

Defectdensity(cm-*)

Anneal

N2

N2+ N2102

POCL, doped

PI/I

300 1000 900 500 700

50 100 150 200 300

>2150 2150 50 50 30

40 85 125 240

240 10 4 5

3000 7000 20000 500

<30 <30

300“C, 20 min 400“C!,20min 450“C, 20min 550‘C, 20 min 650“C, 20 min

<30 <30

1000“C, 30 min 1000“C, 30min 1000“C, 30min 1000“C, 30min 1000“C, 30min

28 5 13

1000“C, 10min 1000‘C, 10min 1000“C, 10min 1000“C, 10min

WSiz

100 100 100 100

200 200 200 200

aDatafrom Alperin et al. [541wherea salicideprocess wasusedwith heavily phosphorus-doped poly-Si. The defect densityis from MOS capacitorbreakdownvoltages,thedetailsof the annealwasnot given, b Datafrom Kobushiet al. [ 621usinga polycideprocess andMOS capacitors. 200nm of TiSi2,4weresputterdeposited on 200 nmof poly-Si over a 10nmoxide.N i-2ionswereimplantedinto the poly-Sito form a shallownitrogenrich buffer layer. A thin silicateglassfilm wasusedto captheTiSiz,Apriorto theN2anneals. c Datafrom Wonget al. [56] usinga salicideprocess andMOS capacitors.35 nmof Ti wasevaporatedon 150or 300nm of poly-Si over a gateoxide.A first silicideannealwasperformedat 600-700“C, followedby selectiveetchingof Ti anda secondannealat the temperature shown. dDatafromTing et al. [551usinga salicideprocess andMOS capacitors. 50 nmTi dotswerefabricatedon poly-Si dots (of largerdiameter)over 12.5nmgateoxides.A two-stepannealwasused,afterthe first anneal(650 “C, 30 min) and selectiveetching,a secondannealat thetemperature shownwasperformed. ’ Datafrom Gambinoet al. [79] usinga salicideprocess andMOS capacitors. Thesilicideannealconditions(Le. between 600and800“C) andsputtercleaningprior to Co depositionhadlittle effectonjunction leakage. f Datafrom Gambinoet al. [27] usinga salicideprocess andshallown+-pjunctions,45 nmof Pt wassputteredon 180nm poly-Si with ajunctiondepthof = 50 nm.Samples wereannealed in N2for 20 mininitially, anda second20 min annealed performedin N2/02 (1:l). gDatafrom Fukumotoet al. [841usingsputteredMoSi2andMOS capacitors with a 35 nmgateoxide.Thepoly-Si was eitherdopedfrom aPOCl, sourceat 900“C or phosphorus wasimplantedinto theMoSi,. hDatafrom Koburgeret al. [ 831usingco-evaporated WSiz with a substrate temperature of 300“C andMOS capacitors, The poly-Si thicknessis after oxidation,whichwasdonewet at 1000“C for 10min.

The microstructure and gate oxide integrity of TiSiJpolysilicon structures has been studied in detail by Wong et al. [ 56,64,69] The first study by Wong et al. [ 641 examined TiSi,/polysilicon structures as a function of P concentration in the polysilicon and Ti thickness. The silicide was formed with a two-step annealing, with the second annealing at 800 “C. The maximum roughness at the silicide-polysilicon interface increased with P concentration, from 17 nm ( 1X 102’ cme3 P) to 33 nm (6.7 X 102’ cma3 P) . The silicide thickness was fairly uniform except at the highest P concentrations (1.7 X 1021cmm3), where the silicide was discontinuous. Abnormally large polysilicon grain sizes were also observed at the highest P concentrations (6.7 X 102’ cmF3 and above). The roughness at the silicide-polysilicon interface may be due to polysilicon grain growth. A rough silicide-polysilicon interface could result, even though the silicide thickness is fairly uniform. In a subsequent study [ 691, Wong et al. compared TiSi2 stability on As-doped single crystal Si and P-doped polysilicon (6.7 X 10” cmm3), as a function of annealing temperature. For a given annealing temperature, the roughness was greater at the silicide-polysilicon interface compared with the silicide-single crystal Si interface. The

E.G. Co&an et al. / Fonnation

and stabiliv

of silicides on polycrystalline

silicon

(a)Control

Fig. 10. Cross-sectional TEM of TiSiz on polysilicon(a) after 800“C anneal.Arrows indicatepenetrations of the silicide into the polysilicon.(b) Additional900“C 30 minin N2.Reprintedfrom Wonget al. [691.

difference is especially great after a 950 “C, 30 min anneal, with roughness at the polysilicon interface and single crystal Si interface of 300 and 120 nm, respectively. Silicide protrusions extended through the thickness of the polysilicon. Agglomeration (a discontinuous film) was observed in both cases after a 900 “C, 30 min anneal. These results are illustrated in Fig. 10. In further work, the defect density was measured for 35 nm Ti films on 150 or 300 nm polysilicon films over a gate oxide as a function of the second annealing temperature [ 561, Table 5. The morphology of TiSi2,,/polysilicon structures after oxidation has been studied by Tanielian et al. [ 59,601, Silicon was consumed nonuniformly, resulting in TiS& protrusions into the polysilicon. The silicide protrusions increased with increasing oxidation. The authors proposed that the protrusions during oxidation are due to a nonuniform barrier to Si at the interface between the silicide and the polysilicon (such asanative oxide with pinholes). Various cleaning methods prior to silicide deposition were tried, but none eliminated the problem. However, no protrusions were observed (after annealing and oxidation) when a 50 nm cr-Si layer was deposited on the polysilicon prior to the silicide, presumably because the interface between the amorphous-Si and the silicide was relatively clean.

61

62

E.G. Co&an et al. /Formation

2.2. Device degradation

and stability of silicides on polyctystalline

silicon

due to Co&

The process compatibility of CoSi,, and comparisons with TiS& have been published [70-731, The main advantage of CoS& compared with TiS& is that the formation of the low resistivity phase (CoSi,) is relatively insensitive to line width and silicide thickness. Two additional advantages of CoSiZ are that unlike TiSiz it can withstand an HF cleaning and its resistance to plasma etching is considerably better. TiSiz also has higher room temperature stresslevels than CoSi,, which can result in dislocations at the edge of silicide fihns [ 73,741. Two disadvantages of CoSiZ are that more Si is consumed to achieve an equivalent silicide sheetresistance with CoSi, and surface cleaning is critical since Co does not reduce an interfacial oxide as Ti does. Junction leakage associated with CoSi, has been studied for CoSi, formed on both single crystal Si [70-73,75,76] and polysilicon [71-73,7779] along with the electric field strength necessary for gate oxide breakdown in MOS devices [77,78,80], Table 4. A decrease in the gate oxide breakdown field has been observed for MOS capacitors fabricated with CoSi,/polysilicon gatesafter annealing above 800 “C [ 801. Interestingly, there is little degradation of the breakdown field after annealing at 800 “C, even though the CoSi:, and polysilicon layers are almost completely inverted. The authors conclude that direct contact of the CoSi, with the gate oxide, in itself, has no detrimental effect on gate oxide integrity. They propose that the degradation is due to mechanical damage caused by growing silicon and silicide grains. The effect of CoSi, on bipolar transistor gain has also been studied [ 77,781. For CoSiZ formed on single crystal Si [70], an 80-100 nm separation was needed between the silicide and the junction in order to obtain low leakage. In contrast, for CoSi, on polycrystalline Si [79], a 165-265 nm separation was needed between the silicide and the junction to obtain low leakage (Table 5). Similarly, for CoSi,?formed on polysilicon emitters [ 77,781, a 150-200 nm separation was needed between the silicide and the polysilicon/ (Si) interface, in order to achieve high gain. The large buffer layer required in the case of CoSi, formed on polysilicon suggeststhat there are deep CoSiZ protrusions extending into the polysilicon. Protrusions of CoSi, in polysilicon have been directly observed by TEM [ 791. The roughness of the CoSiz/polysilicon interface varies significantly with the annealing conditions, Fig. 11, After a 700 “C 30 s annealing the deepest silicide protrusions come within about 13 nm of the polysilicon/Si interface, Fig. 11(a). After an additional 800 “C 30 min anneal, the CoSi, was increasingly rough and CoSi, protruded through the polysilicon/Si interface, Fig. 11(b) . A potential method to minimize interface roughness associated with CoSiZ formation is to use ion mixing, Liu et al. [75,76] studied shallow junction formation using As+ ion mixing of the Co/ (Si) interface. The silicide formed had a finer grain size and smoother CoSi,/(Si) interface than those without the ion mixing. Note that this is consistent with the silicide protrusions at silicide grain boundaries mechanism described in Fig. 4(b) where more severe protrusions would result from a larger silicide grain size. One disadvantage is possible knock-on Co atoms in the depletion region. 2.3. Device degradation

due to PtSi

Gambino et al. [27,81] have examined the oxidation of PtSi on polysilicon and PtSi induced junction leakage. With As-doped polysilicon the PtSi oxidized rapidly at temperatures as low as 500 “C. The resulting SiO,/PtSi and PtSi/polysilicon interfaces were very rough, Silicide inclusions were present in the oxide while Pt-rich regions protruded into the polysilicon, Fig. 12. Rapid oxidation did not occur for PtSi on B or P-doped polysilicon, or for As concentrations of 1 X 102’ cmV3 or less. The formation of PtSi (90 nm) on polysilicon ( 180 nm) contacts to shallow (50 nm) n’-p junctions

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

Fig. 11.TEM imageof CoSi, formedon As-dopedpolysiliconby a 700“C 30 s annealing(a) andafter anadditional800 “C 30 min anneal(b) . Reprintedfrom Gambinoet al. [ 791.

400C

N2 30m + 55OC

02 lh

Fig. 12.TEM imageof PtSi formedon As-dopedpolysiliconoxidizedfor 1 h at 550“C. Silicideinclusionsarepresentin the oxide whichPt-rich regionsprotrudeinto thepolysilicon.ReprintedfromGambinoet al. [81].

causedhigh reverse leakage currents, becauseof Pt or PtSi protrusions at isolated sitesin the polysilicon [ 271. The density of protrusions that extend into the junction depends on the PtSi annealing temperature and atmosphere, and was minimized by using either very high (550 “C or above) or very low (300 “C) temperature annealing in nonoxidizing atmosphere, Table 5. The protrusions are probably due to a nonuniform reaction between the Pt and polysilicon (if the reaction was complete and uniform, the junction would have been 170 nm from the PtSi-polysilicon interface).

63

64

E.G. Colgan et al. /Formation

and stabiliv of silicides on polycrystalline

silicon

Similar results have been reported by Grivna et al. [ 821 They found that thinner Pt silicides and lower As concentrations in the polysilicon decreased leakage currents. They also observed very deep penetration (over 200 nm) of the PtSi protrusions into underlying single crystal Si after PtSi oxidation. Hence, the protrusions form in single crystal as well as polycrystalline Si. The mechanism by which the protrusions form is not clear. However, the large depth of the protrusions suggestsa rapid localized reaction between Si and Pt, that is catalyzed by the combined presence of As and oxygen, 2.4. Device degradation

due to MoSis

Koburger et al. [ 831, Fukumoto et al. [ 841, and Sakiyama et al. [ 851 have investigated MoSi2/ polysilicon gate electrodes. Koburger et al. [ 831 studied the effect of polysilicon thickness on MOS capacitor yield. Electrical characterization after oxidation (1000 “C) revealed that the polycide structures were unaltered compared with polysilicon devices if a minimum polysilicon thickness (about 125 nm) remained. The required thickness of polysilicon was larger than that required to provide sufficient silicon for the oxidation process. Fukumoto et al. [ 841 studied the effect of P concentration in the polysilicon and the native oxide thickness at the MoSiJpolysilicon interface on breakdown voltage. The breakdown voltage was low with a thick native oxide at the interface; dipping in HF and Ar ion etching prior to silicide deposition improved the yield. The yield decreased with increasing P concentration in the polysilicon; this was attributed to different native oxide thicknesses.The MoSi, layer consumed 10 nm of polysilicon in a uniform layer in good devices, whereas the reaction was localized and caused protrusions in the bad devices during high temperature annealing. An alternate process sequenceusing a P implant into the MoSi, layer was proposed to solve the above problems, Table 5. 2.5. Device degradation

due to WSiz

WS& is the most commonly used silicide for polycide processes because of its high thermal stability, adequate resistivity, and ease of patterning with dry etching processes.Additionally, CVD processesusing SiHQand WFBwhich deposit conformal films have been developed and are established in manufacturing [ 86,871. As deposited CVD WSi, films are microcrystalline with grains smaller than 3 nm [ 871. Upon annealing, polycrystalline WSiT changes to a hexagonal structure at 500 “C and a tetragonal structure at or above 600 “C. Silicon-rich silicides are stable and smooth after high temperature anneals and oxidations and have excellent adhesion [ 871. WSi2 and polysilicon do not interpenetrate even after high temperature anneals [ 87,881, though capping of WSi, films prior to high temperature Nz anneals are neededto preserve good silicide surface morphology [ 881. After annealing in N,, the Si/W ratio decreaseswith the excesssilicon migrating to the WSiJpolysilicon interface [ 33,871. Films with a Si/W ratio less than 2 generally crack and peel off the substrate during high temperature processing. The main problems associated with WSi,/polysilicon gate structures prepared from WFB are F penetration into the gate oxide and morphological degradation during oxidation such as void formation in the polysilicon, formation of tungsten oxide if the annealing conditions are not controlled, or other effects which can lead to peeling of the WS&. The oxidation problems are generally due to a barrier to Si diffusion (i.e. native oxide) between the silicide and polysilicon so that silicon diffusion takes place from localized regions. The tungsten can be oxidized when more Si atoms are required for oxidation than can be provided from the WSi, films or by diffusion through the WSi, films. The effect of F from CVD-WSi, on the electrical breakdown of SiOZ films with and without polysilicon between the WSi, and SiO, was studied by Shioya et al. [ 891. At 1000 “C, fluorine diffused

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

into the Si02 film to a concentration on the order of 102’ cmm3 and the breakdown voltage was degraded. A CVD Si,N, layer deposited between the polysilicon and gate oxide prevents F diffusion into the oxide. It has also been found that high levels of fluorine result in additional oxide growth [ 901. The fluorine concentration in the CVD WSi, can be reduced by using a SiH2C12and WF6 CVD process where the films are deposited at a higher temperature than with SiH4 and WF6 [91,92], Additional advantages are improved step coverage and reduced peeling [ 9 1,921. As discussed previously (Section 1.1)) with a polycide process the silicide is oxidized to form an SiOz layer on the silicide. Many problems have been observed with the oxidation of WSi2 when the supply of Si is inadequate. An inadequate supply of Si can be caused by a high oxidation rate, a low Si content in the silicide, or a diffusion barrier between the WSi2 and polysilicon. Zirinsky et al. [93] found that during steam oxidation Si02 forms on the WSi,/polysilicon surface by the rapid diffusion of Si through the WSi2, which appeared in this case to be quite inert. With WSi2/Si02 samples, during steam oxidation removal of Si from the silicide to form Si02 leads to the formation of free W. The oxidation of sputter-deposited WSi2 films on (Si) or Si02 was also examined by Mohammadi et al. [94]. With steam oxidation, uniform and smooth Si02 layers were formed on WSi,/(Si) or Si02 samples but the WSi2 was converted to W5Si3.For WSi2 films on Si02, the absence of free Si resulted in the faster transformation of WSi2 into W5Si3. Dry oxidation resulted in rough Si02 films of poor quality and the formation of W03 powders. In work by Ayukawa et al. [ 341 it was shown that the oxidation rate of WSi2 is a function of the WSi2 grain size, decreasing as the average grain size increased. This relationship between the WSi, grain size and oxidation rate held for WSiz films on both polysilicon and (Si) substrates. In work by Hsieh and Nesbit [ 321, voids developed in the polysilicon layer during oxidation of WSi2/polysilicon structures. The voids nucleated at the WSiz/polysilicon interface and grew into the polysilicon film and were attributed to a nonuniform native oxide on the polysilicon which acted as a barrier to the diffusion of Si, Fig. 13. This resulted in diffusion of Si from the polysilicon taking place at localized regions, illustrated schematically in Fig. 5. Void formation was promoted by an oxide layer which inhibits the diffusion of Si from the polysilicon and by a high oxidation rate. When the

Fig. 13.Largevoidsareobservedin thepolysiliconlayer of anoxidizedWSiJpolysilicon blanketstructure.Reprintedfrom Hsiehet al. [ 321.

65

66

E.G. Colgan et al. /Formation and stability of silicides on polycrystalline silicon

WSiJSi structure was evaporated sequentially without breaking vacuum, no voids were produced during subsequent oxidation. The SiOZformed on the WSiZ surface was uniform in thickness, this was attributed to rapid lateral diffusion of the Si in the silicide film relative to the oxidation rate at the Si02/WSi2 interface. Under certain oxidation conditions, the WSiZ layer was itself oxidized and expanded up to three times its original thickness with small voids forming at the SiOZ/WSiZ interface. The expansion of the silicide layer and the voids was attributed to the formation of volatile W03 within the silicide film. The oxidation of the WS& was explained in terms of an insufficient supply of Si from the polysilicon due to a rapid oxidation rate and/or an oxide layer which inhibited the diffusion of Si from the polysilicon layer. This silicide oxidation resulted in the film breaking up and lifting off the substrate. The peeling of CVD WSiJpolysilicon structures was studied in detail by Yoo and coworkers [ 951. It was found that during oxidation, the Si/W ratio in the WSi, is reduced by Si diffusion to the surface to form Si02 and Si diffusion to the polysilicon interface where it precipitates. With further oxidation, once the Si/W ratio value is 2.2, it is energetically favorable to provide Si atoms for SiOZ formation from the polysilicon film rather than from the WSi, layer. Peeling was attributed to the stress increase associated with the volume changesbecause of compositional changes. It was proposed that peeling could be avoided by leaving the SiOZ layer formed on the WSi, during the first oxidation in place which would slow down further compositional change of the after-oxidation WSi, during the second oxidation so that Si atoms would be provided from the polysilicon layer. The repeated oxidation of a WSi, after etching off the initial SiOZ layer can also result in other undesirable morphologies [ 34,961. In work by Ayukawa et al. [ 341, not only was the oxidation rate shown to decreasewith increasing WSi, grain sizebut it was shown that with a reoxidation of LPCVD WSiJpolysilicon samples after removal of the initial SiOZ layer resulted in a rough SiOa layer contaminated by W atoms and deformation of,the WSi, layer. The W contamination was especially prevalent in the SiOZ over WSi, grain boundaries and deformed WSi, regions. The contamination was attributed to an inadequate supply of Si for oxidation and the formation of W oxide. It was found that high-dose ion implantation of the WSi, film to form an amorphous layer permits the growth of a stable and uniform SiO;?film during reoxidation after removal of the initial SiOZ. In work by Shenai et al. [96], with LPCVD WSiz/polysilicon, during reoxidation after removal of the initial SiOZ layer enhanced oxidation along the WSi2 grain boundaries was observed. This was attributed to enhanced diffusion along the grain boundaries and resulted in an increased sheet resistance. With sequential oxidation and wet etching of the grain boundary oxide, significant oxidation of the polysilicon surface along the WSiz/polysilicon interface, predominantly localized near the silicide grain boundaries, occurred. Severe adhesion problems and peeling were attributed to seepageof wet etchant via the WSiZ grain boundary regions. The problem was less pronounced when an ion implantation step was performed prior to the reoxidation step. In work by Koburger et al. [ 831 with MOS capacitors, the device yields for WSiZ polycide structures were unaltered as compared with simple polysilicon devices if a minimum polysilicon thickness (about 125 nm) was maintained after oxidation at 1000 “C, Table 5. In further work by Koburger et al. [ 971, it was shown that void formation during oxidation of WS&./polysilicon structures could be prevented by the use of a thin Si or SiOZcapping layer. The additional Si layer provided some of the Si required for oxidation during the initial stagesof self-passivation, the deposited SiOZ layer reduced the growth rate of the thermal oxide. In work by Nowicki et al. [98] using SIMS it was found that an in-situ RIE cleaning of polysilicon before CVD-WSi, deposition improved adhesion after annealing and interface uniformity after oxidation, Tungsten disilicide on polysilicon is generally stable in nitrogen. Shenai et al. [ 881 found that annealing at 1100 “C resulted in negligible degradation of the MOS device characteristics, and it was

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

found that forming a thermal oxide on the silicide before high temperature annealing in Nz prevented roughening of the silicide surface. The effect of annealing atmospheres (Ar, NZ, and 0,) on the dielectric strength of gate oxides with W polycide gates was examined by Tanigaki et al. [ 991. CVDWSiZ (300 nm) was deposited on P-doped polysilicon (200 nm) over a 25 nm gate oxide. The dielectric strength was degraded after annealing in Ar, but not N2 or O2 atmospheres. The formation of Si precipitates at the edge of the gate was correlated with the degraded breakdown voltage. The composition of the WSiZ film affected the amount of precipitates, with more precipitates for a higher Si concentration. The breakdown voltage also decreasedwith higher annealing temperatures and longer times in Ar. It was suggested that a Nz or O2 atmosphere results in nitride or oxide films at the WSi2 surface which suppress Si outdiffusion and reaction with the gate oxide. With thinner gate oxides, degradation of the breakdown field for WSi,/polysilicon/SiO, capacitors was observed at lower annealing temperatures [ 1001. 2.6. Device degradation

due to TaSi,,

The use of TaSi,/polysilicon structures has been evaluated by Sinha et al. [ 101,102] and Kwong [ 1031, Sinha et al. examined the electrical properties of MOS capacitors with TaSi2/n + polysilicon gates. The TaSi, was formed either by reacting Ta directly with the polysilicon (900 “C) or by cosputtering a Ta33Si67alloy. For capacitors fabricated by reacting Ta with polysilicon, the capacitancevoltage characteristics were irreproducible and unstable. In contrast, for capacitors fabricated with the Ta-Si alloy (250 nm TaSi, on 350 nm polysilicon) the C-V characteristics were stable and virtually identical to capacitors without silicide. High breakdown voltages were observed even after a 1100 “C glass reflow annealing, suggesting that there was no silicide protrusions in the polysilicon. Some capacitors did breakdown at low fields (less than 6 MV cm- ‘) , but it was not clear whether the low field breakdowns were due to the silicide or to another process step. 2.7. Summary

of device degradation

due to silicides

In general, device degradation (junction leakage for salicides and gate oxide breakdown for salicides and polycides) is observed for all silicides at high enough temperaturesThe temperatures at which degradation occurs is higher for refractory metal silicides (generally above 900 “C with WSi2, MoSi,, or TaSi,) , compared with near noble metal silicides (such as PtSi, which degrades at 400 “C) . The temperature at which device degradation occurs due to TiSiz or CoSi, falls between these extremes (800 to 900 “C) . For a given anneal temperature, oxidations generally produce more degradation than anneals in non-oxidizing ambients, because additional Si is consumed by the oxidation. Device degradation generally occurs at lower temperatures for silicides on polysilicon compared with those on single crystal Si. Obviously, device degradation can be minimized by making the silicide thinner and reducing the anneal temperature or time. However, this places constraints on the device performance (due to higher sheet resistance) and the process integration (due to the limit on heat treatments). 3. Evidence for morphological instabilities of silicides on polysilicon Agglomeration occurs at temperatures well above the silicide formation temperature. The agglomeration is driven by a reduction of surface energy. In some cases,the silicide surface energies have been measured and models proposed, on the basis of the grain size and film thickness, to predict the start of island formation on (Si), Sections 1.4.1 and 4.3. For silicides on polysilicon there is the

67

68

E.G. Co&an et al. /Formation and stability of silicides on polycrystalline silicon

additional driving force for the grain growth of the polysilicon. The amount of morphological degradation will depend on the polysilicon and silicide grain sizes and the relative thicknesses of the polysilicon and silicide layers. With polysilicon, the morphology will also depend on the moving species,whether Si or metal is the dominant moving species,see Fig 8. With metal diffusion (CoSi, and NiSi,) , the original free surface morphology is preserved. With silicon diffusion ( TiSia) , deformation of the silicide occurs. The agglomeration can be reduced by cap layers which change the surface energy, surface diffusion, or mechanically constrain the silicide. Ion implantation into the silicide to reduce deformation can also reduce agglomeration with silicon diffusion. The annealing atmosphere can also influence the agglomeration behavior. The use of cr-Si or ion beam mixing of the metal/Si interface can result in afiner silicide grain size,which reduces the severity of protrusions from enhanced silicide formation at silicide grain boundaries. Additional issuesarise with the use of patterned features. Table 6 contains a nonexhaustive literature summary of silicide agglomeration on polysilicon. The sample configuration, experimental techniques, and major topic discussed are noted for the various silicide systems.Some information on single crystal Si is also included. 3.1. TiSiz agglomeration The agglomeration of TiS& on (Si) [35-40,45,55,104-l 161, polysilicon [ 13,42,55,112114,116-127], and a-Si [ 111,124,125,128,129] has been examined. There have also been many recent studies of fine patterned lines [ 109,112-114,116,119,121,122,124-1271. With fine patterned lines, higher annealing temperatures are required to transform C49 to C.54TiS&, but agglomeration is more severe as line widths are reduced. Agglomeration is also more severe with thinner TiSiz layers [42,116]. The grain boundary grooving of TiSiz on (Si) has been studied [45,107,36-40] in detail, the kinetics of grain boundary grooving [ 451, and contact angles [ 36,38,40] have been measured, A number of models to predict the onset of island formation on the basis of grain boundary grooving and surface energies have been proposed [ 36,37,40,42]. The agglomeration was worse on polysilicon than ’ f ormation on TiSiz agglomeration will be presented in three sections, blanket films (Si) [55]. Th e m on (Si), blanket films on polysilicon or cr-Si, and patterned features. In the next section, methods of reducing TiS& agglomeration based on limiting the TiSiz deformation or decreasing the TiSiz grain size are discussed.Note that Si is the dominant diffusing speciesduring TiS& formation (Table 3). A number of methods to reduce agglomeration have been investigated, many of these are based on the requirement for deformation of the TiSi:, for polysilicon grain growth (agglomeration), Fig, 8 and Section 1.4.2. Recently, a very good correlation has been shown between the polysilicon grain growth temperature and the deformation temperature [ 141. A nitrogen annealing atmosphere was found to result in less agglomeration of the silicide than He [ 551, vacuum [ 131, Ar [ 421, or O2 [ 421, perhaps due to the formation of a TiN layer which mechanically constrains the silicide. Oxidation of the TiS& [ 1041 or deposition of a capping layer above the TiS& [ 42,117] was also found to improve the stability of TiS&,. Another approach is to introduce impurities in the TiS&,. Ion implantation of nitrogen [20,35] or carbon [ 1171 into the TiS& reduced agglomeration, perhaps by hardening the silicide. Similarly, the incorporation of N during Ti sputter deposition reduced agglomeration [ 105,106]. Another approach to improved thermal stability of TiSiJpolysilicon structures is to reduce the TiS& grain size as discussedin Section 1.4.2. With Ti films on a-Si, it is easier to form C.54TiSiz than on (Si) [ 1111 or polysilicon [ 124,129], and the TiSiz films have a finer grain size and smoother interface than the equivalent (Si) sample [ 1111. Ion beam mixing of the Ti/polysilicon interface also results in a smoother interface [ 107,128]. The easier formation of C.54TiSi:, on cu-Siis very important for fine lines where the sheetresistancewas found to depend on the linewidth due to limited nucleation

E.G. Colgan et al. /Formation

and stabilizy of silicides on polycrystalline

silicon

69

of the C54phase both on (Si) [ 109,112,113,121] andpolysilicon [ 112-114,121,122,127]. Using an As ion implantation before Ti deposition to amorphize the polysilicon was found to nearly eliminate the linewidth dependence of the sheet resistance for linewidths ranging from 0.4 to 2.0 pm [ 1251. Table6 Silicideagglomeration on poly-Si Structure Blanketfilms

Techniques

Topic

Ref.

morphology,SPE,NzI/I into TiSiz agglomeration mechanism improvedstabilityw/sputterclean beforeTi andTiSiz oxidation improvedstabilityw/N, in Ti groovingkinetics,sputterclean, Si I/I of Ti/Si interface morphology,mechanism, island contactangles,C49-C54 NzI/I into TiSiz agglomeration kineticsw/R, morphology,mechanism, contact anglesfor grooving

[351

[551 [13,117]

on single crystal Si

Ti/(Si), SOS

RBS, SEM, XRD

Ti/(Si)

TEM, R,, XRD, SIMS, SEM ESCA R,, TEM, SIMS JL, SEM, TBM, XRD, SIMS

Ti/(Si) Ti/(Si)

UHV, LEED, AES, SEM, TEM Raman,XRD R,, XRD, SIMS, SEM, JL SIMS, R,, TEM, RBS TEM

Ti, TiSi,/(Si) Ti/(Si) Ti/(Si) TiSi,/ (Si) Blanket films on polyqstalline

Ti/poly-Si, (Si) Ti, TiSiJpoly-Si

or amorphous Si R,, JL, AES,RBS,SEM

Ti/poly-Si Ti/poIy-Si Ti/poly-Si, Poly-Si/TiSi,/(Si) Tiler-Si, (Si) Ti/poly-Si, cu-Si

SEM, RHEED,AES, R, R,, XRD, SEM, AES, RBS

Ti/cr-Si, (Si) Ti/poly-Si

TEM, R,, XRD, AES R,, XRD, SEM, TEM

morphology,Heor Nz anneals morphology,plasticdeformation,cap layer onTiS&, C I/I into TiSiz morphology,caplayer,annealT ambient,caplayer,Ti thick, anneal thermalstability, SPE,SiOzcap dopantsI/I into Poly-Si or TiSi2 I/I of Ti/(Si), morphology I/I to form cr-SibeforeTi dep.cr-Si promotesC49to C54transition microstructure, C54on cr-Si TiSil stability vs. poly-Si orientation

R,, TEM, XRD

R, vs. l-40 pm width andanneals,

SBM, RBS, TBM AES,SIMS, SEM, R, SEM, R, RBS, AES, SEM, TEM, XRD

[IO41 [ 105,106] 1451 [IO71 [36-39,115]

I1081 [1101 [401

[1181 [421 [1201

[1111 [l231

Patternedfeatures

Ti/(Si) Ti/poly-Si Ti/poly-Si, (Si)

R,, SEM, TEM, RBS

Ti/poly-Si Ti/poly-Si, cr-Si

R,, SEM, TBM R,, TEM, I-V

Tilpoly-Si

R,, TBM, SEM

Tifpoly-Si, a-Si Ti/poly-Si Ti/poly-Si, (Si)

R,, RBS, TEM, SIMS, XRD R,, SEM, TEM, SIMS R,, JL, RBS, SIMS, TBM, SEM

Blanketfilms

SEM

C49-C54nucleation,morphology morphology,edgeeffects R, vs. 0.5-1.5 pm width andanneals and dopant;morphology R, vs. 0.9-10 Fm width anddopant R, vs. 0.4-2 ,umwidth anddopant,As I/I to form cr-SibeforeTi dep. 1 pm width,R, vs. topography, Si I/I of Ti/Si interface = 1.2pm wide,R, vs. dopants R, vs. 0.0.5-1.1pm width vs. dopant R, vs. 0.3-1.5 pm width andanneals anddopant.I/I of Ti/Si. JL vs. X, andanneal

[lo91 [1191 [112-1141, [1211 11221 u251

[1261 [ 1241

[1271 [1161

on single crystal Si

Co/(Si)

SIMS, R,, TEM, RBS

Co/Ti/(Si)

R,, SEM, TBM

agglomeration kineticsw/Rs, CoSizthickness,Ar or N2ambient epitaxialCoSi, stability,mechanism

[1101 [431 (continued)

70

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

Table6. (continued) Structure

Techniques

Blanket films on polycrystalline

Topic

Ref.

[ 1341 [ 1451

[lo91

or amorohous Si

Co, CoSiJpoly-Si, (Si) Poly-Si/CoSiJ(Si) Co/poly-Si/SiOZ

RBS, SEM;TEM, XRD AES, XRD RBS, AES, SEM, TBM, XRD

Co/poly-Si, cr-Si,(Si) Co/&i, poly-Si, (Si)

TEM, RBS,R, XRD 4, TEM

Colpoly-Si

R,, SEM,XRD, RBS,TEM

thermalstability,I&/N,, or OJNa poly-Si doping,SPE,inversion thermalstability, SiOZcap dopantsI/I into CoSi, fasterCoSi,,formationon c&i thermalstability,inversion/mixing, dopants,I/I into CoSi,, mechanism thermalstability,inversion,mixing morphology,agglomeration morphology,contactangle,Co thick mechanism, partialagglomeration CoSi, G.B. protrusions morphology,I/I for c&i annealcr-Siandpoly-Si beforeCo%, formation agglomeration kineticsw/R,, stress

Co/cr-Si, (Si) Colpoly-Si/Si02

R,, RBS,SEM R,, XRD, RBS, TBM, SEM EDS RBS, SEM, EDS,R, RBS,AES, TEM, R, TBM, R,, XRD

R,, TBM, XRD

R, vs. I-40 pm width andanneals and

Co/poly-Si, (Si) Co/a-Si/Si02 Co/cr-Si, (Si)

[I201

[ 1351 [48,139] [I361 Cl431 P&29,

41,137]

[ 141,142]

11381 Cl41

Pattemedfeatures

Co/(Si) Co/(Si)

R,, TEM, SEM,RBS

Co/poly-Si/SiO, Co/poly-Si, (Si) Co/poly-Si, cr-Si

R,, SEM,TBM R,, SEM

Colpoly-Si

R,, SEM, TEM

Cr/poly-Si/Si02 Cr/poly-Si/SiOz Ni/poly-Si/SiO* Ni/poly-Si Ni/poly-Si Ni/cr/(Si)

SEM, RBS,TEM SEM SEM, RBS,TBM SIMS, TEM, SEM, MOSPET R,, XRD, RBS R,, T’EM

Ni/(Si) Nilcr-Si Ni/poly-Si Ni/poly-Si

SIMS, R,, TBM, RBS RBS,TEM, R, SEM, R,, XRD Stress,R,, SEM,XRD, RBS,

Pd/poly-Si/SiOz Pd,Pt/(Si) Pd, Ptlpoly-Si

TEM SEM,RBS,TEM SIMS, R,, TBM, RBS R,, SEM, XRD, RBS,TBM

SEM

dopant;morphology R, vs. 0.45-1.0pm width andanneals andI/I into CoSi,; morphology morphology,edgeeffects R, vs. 0.5-l pm width, morphology yield vs. 0.5-I pm width and dopant.GeI/I into poly-Si R, vs. 0.9-10 pm width anddopant morphology,plasticdeformation morphology,line edgeeffects

Cl441 Cl191 [I141 Cl401 I1221 [I31

[ 119,132]

morphology,plasticdeformation Nisi salicidefor CMOS morphology,Ir andPt alloy, Ni thick NiS& morphologyon I/I (Si), variousdopants agglomeration w/R, localizedNisi1formationon c&i R, vs. O-2-1.6pm width, thickness agglomeration kineticsw/RS, poly-Sigraingrowth,deformation

[I31 I1471 I1481 [ 1501

morphology,plasticdeformation agglomeration kineticsw/R, agglomeration kineticsw/R,

[I31 [1101 I: 141

[I101 1251 El491 1141

MSi, indicatescosputteringcomposition, R, is contactresistance, R, is sheetresistance, andJL is reversediodeleakage.I/I standsfor ion implantation.Commonmethodsof physicalandchemicalanalysisareRutherfordbackscattering spectroscopy (RBS), Auger electronspectroscopy(AES), secondaryion massspectrometry(SIMS), scanningelectronmicroscopy (SEM), transmission electronmicroscopy(TEM), X-ray photoelectron spectroscopy (XPS or ESCA), andX-ray diffraction (XRD).

Increasing the polysilicon grain sizeimproves the thermal stability of TiS&./polysilicon structures [ 13,117]. It has also been shown that increasing the TiSi2 grain size on (Si) can improve the thermal stability [ 1301. A thin (5 nm) thermal oxide layer was grown before Ti deposition and the resulting TiSiz had a very large grain size (of the order of 5-15 ,um across). The large grain size is perhaps due

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

to limited sites for nucleation and growth. No agglomeration occurred after annealing at 1100 “C and the TiSiz also prevented Al penetration at 500 “C. 3.1 .I. Ti&

blanketjlms

on single crystal Si

The agglomeration of TX2 on (Si) is illustrated in work by Revesz et al. [35], Fig. 14. The TiS& was found to agglomerate into islands after long vacuum anneals at 900 or 950 “C and epitaxial Si regrew in the regions between the TiSiz islands. The stability of TiSiz on (Si) is affected by impurities such as nitrogen and oxygen. Nitrogen ion implants into the silicide [ 35,108] or nitrogen additions to Ar during deposition of Ti [ 105,106] improve the morphological stability, presumably by reducing the Si and Ti mobility or plasticity of the silicide. The effect of oxygen is more complicated. Oxygen additions to the sputtering atmosphere degrade the thermal stability [ 105,106], However, oxygen at the TiSiz surface introduced by oxidation of TiS& improves the thermal stability [ 1041. Sputter-etching prior to Ti deposition improves the thermal stability [45,104,107] as does ion beam mixing after Ti deposition [ 1071, However, in one case the improved stability was attributed to an increase in oxygen at the interface [ 1041 while in another case the improvement was attributed to a decrease of oxygen at the interface [45,107]. The thermal stability also appears to be improved by ensuring that there is complete conversion of TiSi2 to the C.54 phase [ 1071, A possible explanation for the effect of oxygen is as follows. The oxidation of the TiSi, surface minimizes agglomeration by slowing down Si and Ti diffusion [ 1041. However, oxygen at the Ti-Si interface or in the Ti film

)

a

950% 14 hours

*‘2*3 in vacuum

t

u

Si 560nm

HF etch

Fig. 14.Schematicdrawingof theTiSi,/SOS structureandcorresponding SEM micrographtakenaftervacuumannealingat 950 “C for 14h andTiS& removal.Reprintedfrom Reveszet al. [ 351.

71

72

E.G. Colgan et al. /Formation and stability of silicidesonpolycrystallinesilicon

inhibits C.5’4TiSi2 formation or causesthinning of the film, and hence leads to enhanced agglomeration. Sputter cleaning enhances C.54formation (assuming that the amount of oxygen at the interface is not increased too much) which results in less agglomeration. Yoshida et al. [45] have measured the kinetics of TiSiz agglomeration, The mean groove width was measured for different annealing times and temperatures for Ti deposited on (Si) with and without sputter-etching. They concluded that the dominant mass transport mechanism was surface diffusion induced by surface tension since the (groove width) [ 41 was proportional to the annealing time. With sputter-etching of the (Si), the activation energy for surface diffusion (5.8 eV) was higher than without sputter-etching (3.5 eV) . The activation energy appears to be too high for surface diffusion. Perhaps the rate limiting step is silicide deformation. An activation energy of 3.5 eV for degradation due to agglomeration with TiSi,/(Si) structures was determined from R, measurements by Jiang et al. [llO]. In work by Jeon et al. [ 36-39,115] the island formation of TiS& on ( 100) - and ( 111)-oriented Si were examined in UHV conditions. Agglomeration occurred between 700 and 900 “C with a larger area1coverage of TiSiz on ( 111) than ( 100) Si. The transition temperature from the C49 to C54 phase was found to increase with decreasing film thickness and was lower on Si( 100) than Si( 111) with similar thicknesses [ 381. The contact angles were measured and surface and interface energies calculated. The observed morphologies were described in terms of liquid droplets floating on a second liquid, without mixing. The different behaviors on ( 111) and ( 100) Si were related to the interface energies, Fig 15. For thin films on ( 111) Si, epitaxial islands were observed with different interface structures [ 391. Coevaporated TiSiz films on (111) Si were also examined [ 1151. The C49 phase crystallized at 600 “C with a relatively smooth surface and uniform interface while a rough surface and interface were observed for the C.54 phase. This is consistent with C49 TiS& growth being controlled by a diffusion limited process and C.54TiSiz by a nucleation limited process. Work by Nolan et al. [ 401 presented an equilibrium model which predicts the critical grain size at which agglomeration due to grain boundary grooving can occur on the basis of the initial film thickness and the surface/interfacial energies. It was also shown that grain boundary grooving at the substrate interface can be as important to agglomeration as surface grooving, Fig. 16. Contact angles were measured for TiSiz on (100) Si. This is discussedfurther in Section 4.3. 3.1.2. TiSi, blanket films on polycrystalline

or amorphous Si

The degradation of TiS& generally occurs at lower temperatures on polysilicon compared with (Si) [ 551. The interaction of TiS& with polysilicon and methods to stabilize TiSia during thermal annealing have been studied by Zheng et al. [ 13,117]. Vacuum annealing of TiSi2 on undoped polysilicon (grain sizeabout 40 nm) at temperatures above 850 “C!resulted in erosion of the polysilicon layer and growth of large Si crystallites in the silicide film, Fig. 17. The driving force originates from the growth of large grains of Si at the expenseof the small grain polysilicon. The silicide layer provides a transport medium for Si grain growth at relatively low temperatures. It was also suggested that the growth of Si crystallites is accompanied by deformation of the TiSiz overlayer. A trend was observed that the grain-growth temperatures increased with the melting point of the silicide and the ratios fall around the line for T = 0.6 T melt(K) [ 131. In recent work [ 141 the temperature for polysilicon grain growth in silicide/polysilicon structures was shown to be correlated with the deformation temperature for the silicide, Fig. 18. In work by Shukla and Multani [ 421 Ti thicknessesbetween 30 and 70 nm were sputter-deposited on 450 nm n + polysilicon and annealed in Ar, 02, or NZ. The sheet resistance increased dramatically after 920 “C anneals, more for Ar than Nz atmospheres.Severe agglomeration occurred. Thinner TiSiz films were less stable. A plasma nitride, LTO, or BPSG dielectric overcoat drastically reduced the

E.G. Colgan et al. /Formation

a>

and stabilio

of siiicides on polycrystalline

silicon

b, +-----b

0.3pm

cl

d, 0.15pm

Fig. 15.SEM andTEM imagesof 20nmTi/Si( 100) (a,b) Si( 111); (c,d) samples annealed at 900“C in vacuum.Reprinted fromJeonet al. [361.

increase of sheet resistance during annealing. It was suggestedthat the agglomeration is due to surface energy effects and is strongly influenced by film thickness, with thinner films being more sensitive to agglomeration. A dielectric overcoat was found to slow the agglomeration. Just as TiSiz stability on (Si) was found to depend on the orientation [ 36,371, in work by Kim et al. [ 1231 it was found that TiS& formed on polysilicon deposited at 625 “C (strong (110) texture, 65 nm gram size after 900 “C anneal) had a more stable conductivity during annealing at 900 “C than TiS& formed on cr-Si deposited at 540 “C which was recrystallized at 900 “C and had a (111) preferred orientation and a grain size of 37 nm. Note that the grain size was changing along with the polysilicon texture. A number of methods have been used to improve the stability of TiS& on polysilicon, including increasing the grain size of the polysilicon [ 13,117], implanting an impurity such as C into the TiSi2 [ 13,117], by capping the TiSi2 with TaSi, [ 13,117], or by capping the TiSi2 with a dielectric layer [ 421. The simplest way to improve the stability of silicides on polysilicon is to anneal the polysilicon prior to silicide deposition or formation, to increase the grain size of the polysilicon. The stability of TiSi2 is expected to increase with increasing grain sizein the polysilicon becauseof the reduced driving force for grain growth in the Si. Carbon implants into the TiSi2 could improve the thermal stability by increasing the creep resistance. Cap layers could improve the thermal stability of TiS& by preventing

73

E.G. Colgan et al. /Formation and stabilio of silicides on polycrystalline silicon

74

i-

Fig. 16. TEM images of TiSiz on Si( 100) before high temperature processing (a), after annealing at 880 “C for 1 min (b) and after annealingat 920 “C for 1 min (c) . These images demonstrate the development and then deepeningof grain boundary grooves during high temperature processing. Reprinted from Nolan et al. [40].

Fig. 17. Schematic drawing of the TiSiJpolysilicon structure and corresponding TEM images taken after annealing at 900 “C for 30 min. This illustrates silicide deformation during polysilicon grain growth. Reprinted from Zheng et al. [ 1171, deformation

of the silicide, by reducing surface diffusion, or by reducing the surface energy of the

TiSi*. TiS& stability is generally improved by formation on cu-Si because of enhanced C54 TiS& nucleation. [ 111,129] The cr-Si can be formed either by ion implantation of (Si), ion mixing of Ti/

E.G.

300

Colgan

et al. /Formation

400 500 600 Deformation Temperature

and stability

700 (“C)

of silicides

on polycrystalline

silicon

8 10

Fig. 18. Plot of polysilicon grain growth temperature versus the deformation temperature of the silicide. Redrawn from Hong etal. [14].

(Si) structures, or by direct deposition. The formation of TiSiz on cr-Si or (Si), both ( 100) and ( 111) was investigated by Xiao et al. [ 1111 using EM, XRD, and R, measurements. The cr-Si was formed by Ge implantation. With a-Si, C.54 TiSi,? was more likely to form, the grain size was smaller and the TiSiz interface was smoother. For cu-Si,a 650 “C RTA annealing in N2 resulted in a C.54 TiS& layer with a grain size of 30-80 nm and an interface roughness of about 15 nm. The equivalent sample on (Si) was C49 (the high resistance phase) TiSi* with a grain size of 100-300 nm and a roughness of about 40 nm. The different grain sizeswere attributed to different nucleation mechanisms on a-Si and (Si), because of the higher internal energy in the a-Si which results in a lower activation energy for nucleation. Xiao et al. also discussthe role of stressin the formation of C54 TiS&. There is a decrease in volume when Ti and Si react to form TiS&, with a greater decreasein volume for C54 TiSi2 formation compared with C49 TiSi, formation. Xiao et al. suggested that the smaller volume change associated with C49 formation could be the reason for the easier generation of the C49 phase compared with the C54 phase. In fact, stress-induced microtwins were observed in the C49 phase during the C49 to C54 transformation, indicating that there is significant stressassociatedwith the transformation. In the work by Suzuki et al. [ 1281 it was found that Ti/cr-Si films react to form a smooth TiSiz layer as opposed to the rough TiSiz formed by a Ti/(Si) structure. Ion beam mixing of a conventional Ti/ (Si) structure with an oxide contaminated interface resulted in a smooth silicide film when the implant conditions were such that the Ti/Si interface was amorphized. Kuwano et al. [ 1291 found that Xe preimplantation of polysilicon before Ti deposition resulted in a lower temperature transition (up to 150 “C lower) from the metastable C49 phase to the low resistivity C54 phase and a smoother morphology. This was perhaps due to more nucleation sites for the C54 phase with small grained C49 TiSiz formed on amorphous Si compared with C49 TiSi* formed on single crystal Si. The sheetresistancewas monitored as a function of preimplantation dose, preimplantation energy, and annealing temperature. 3.1.3. Patterned

TiSia features

The formation of low resistivity C54 TiS& from high resistivity C49 TiS& becomes increasingly difficult as the linewidth decreases [ 109,122,127]. Lasky et al. [ 1091 proposed that this is due to a relatively low density of C54 nucleation sites. For large features this is not a problem because C54 grains grow large enough to consume all the C49 grains. However, for narrow linewidths the C54

75

76

E.G. Co&an et al. /Formation

(4

Nucleation Blanket

l

site

silicon

of C54 TiSi,

Wide lines

C54 Nucleation

and stability of silicides on polycrystalline

zz‘z

Fine lines

c54

z5zz

c49

TiSi J150 nm polycrystalline

Si

0 P-doped 3E15 cm2 I As-doped 3E15 cm2

“.G 52 20 c

0.2

0.4 Line WicK(um)

0.8

1

1.2

Fig. 19. Schematicof C.54TiSiz nucleationfor blanket,widelines,andfine lines(a) andplot of sheetresistance versus linewidthfor TiSiz formedon As andP-dopedpolysilicon(3 X lOI cm-*). Redrawnfrom Ganinet al. [ 1271.

grains will not necessarily consume all the C49 grains, even if the nucleation density per unit area is the same. This is becausethe distance between nucleation sites is larger for the narrow lines (i.e. the nucleation density per unit length is decreased as the linewidth decreases). This is illustrated schematically in Fig. 19(a) for blanket, wide line, and narrow line regions using the same density per unit area of C.54nucleation sites.Note that with the narrow lines large regions of C49 remain after the C.54 formation because of the limited nucleation sites. In reality, the nucleation density of C.54 grains per unit areais probably lessin narrow lines, becausethe most favorable nucleation sitesare grain boundary triple points [ 1311, which are lesslikely to occur in narrow lines than wide lines. Sheet resistancedata as a function of linewidth is shown in Fig. 19(b) to illustrate the increased R, with narrower lines [ 1271. Hence, C.54 TiSiz formation on narrow lines requires higher transformation temperatures than for wide lines (and increased risk of agglomeration), resulting in a narrower process window. Lasky et al. [ 1091 found that using momentary rapid thermal annealing permitted the formation of C54 TiS& without agglomeration. Patterned TiSiz lines are more prone to agglomeration than blanket films [ 1191 and the stability of TiS& lines decreases as the linewidth decreases [ 112-114,121,127]. Enhanced degradation is sometimes observed at line edges [ 119,132]. With patterned metal on blanket (Si), hillocks may form preferentially at the edges of lines, it was proposed that this was becauseof higher stresslevels in the Si at the edges which leads to greater deformation of the silicide [ 119,132]. Bowing of the TiS& in narrow lines is often observed [ 112-114,121,122], Fig. 20. Norstrom et al, [ 112-114,121-J proposed that the bowing of the silicide is due to mechanical pinning of the TiSiJpolysilicon interface by the sidewall spacers.However, bowing is also observed in structures without sidewalls, Fig. 21, suggesting that other effects, such as the compressive stress in the TiSiz during silicide formation, might be important [ 1221.

E.G. Colganet al. / Fomafion

and stab%4 of silicides onpolycrystalline

silicon

(b)

Fig. 20. TEM imageof a TiS& polycideline after the the a 730“C 30 s annealingin N2but prior to the selectiveetch of unreactedTi (a) andafterselectiveetchinganda 850“C 30 s annealingin N2 (b) . Reprintedfrom Norstromet al. [ 1141.

SiQ2

200

nm

Fig. 21. TEM imageof TiSiz on B-dopedpolysiliconafter thefinal annealingat 8.50“C for 30 s. Reprintedfrom Gambinoet al. [122].

77

78

E.G. Co&an et al. /Formation

and stability of silicides on polycrystalline

silicon

The effect of dopants on the thermal stability and formation of TiSiz on polysilicon is complicated and depends on the dopant type, concentration, and annealing sequence [ 112-l 14,116,121, 122,124,125,127]. Dopants such as As and P increase grain growth in the polysilicon. Hence if the dopants are activated prior to silicide formation, the silicide stability should be increased by the larger grain size of the polysilicon. If the dopants are not activated prior to silicide formation, the surface of the polysilicon will be amorphized, resulting in enhanced CL54TiS& nucleation [ 1251, However, some dopants have a detrimental effect on TiSiZ formation, which results in degraded thermal stability, Arsenic (and to some degree P or BF,) doping results in a reduced TiSiz thickness and reduced formation of C.54TiSi2 [ 116,122,124]. It has recently been suggestedthat the increase in TiS& sheet resistance with As concentration is not only due to a reduced thickness, but also that As segregated at the grain boundaries inhibits the C49 to C54 transformation and small C49 grains remain after the second anneal [ 1331. A thinner TiSi,! layer is lessresistant to agglomeration [ 1161. Note that thinning can also occur in TiSiz lines that run over topography, resulting in reduced thermal stability [ 1261. The use of cr-Si,produced by ion implantation [ 1251, ion mixing of the Ti/Si interface [ 116,126], or directly deposited [ 1241 promotes C.54 TiS& nucleation and reduces the increase of TiSiz sheet resistance with decreasing linewidth. 3.2. Co& agglomeration The agglomeration of CoSi, on (Si) [28,29,41,43,109,110,114,134-1381, polysilicon [ 14,48,114,119,120,122,134,136,138-1421, and cr-Si [28,41,135,138,140,141,143] has been examined. There have also been numerous studies of fine patterned lines [ 109,114,119,122,140,144]. The phenomena which have been observed during CoSi, agglomeration include inversion, grain growth (or mixing), and in cases,partial agglomeration. Initially, for a CoSiJpolysilicon structure, inversion occurs [48,136,139,145] which preserves the original surface texture [48,134]. Subsequent higher temperature or prolonged annealing results in grain growth [48,136,139] with polysilicon and CoS& grains extending through the whole film vertically. With single crystal Si, direct evidence of silicide grain boundary diffusion induced CoSiJ (Si) interface roughness has been observed [ 28,291. With cu-Si,the CoSiZ grain size was smaller and the interface smoother. Partial agglomeration during Co silicide formation has been examined on (Si) [ 41,137] and polysilicon [ 141,142]. This is a phenomenon where the upper portion of a reacting Co or Co silicide film agglomerates independently from the main body of the silicide and this occurs at lower temperatures than whole film agglomeration, As with TiS&, the morphological changes strongly depend on the Si substrate microstructure. With cu-Si,a smaller CoSi, grain size resulted and the CoSi, formed at a lower temperature with a smoother interface [28,135]. The agglomeration is worse on polysilicon than (Si) [ 1361. In fact, it has been shown that the thermal stability of epitaxial CoSi, on (001) Si is excellent, annealing at 1100 “C for 10-60 s improved the film quality [43]. Annealing polysilicon improves the thermal stability of CoSi, subsequently formed and annealing cr-Si degrades the thermal stability of of CoSiZ subsequently formed [ 1381, The use of P-doped polysilicon has also been reported to improve the stability of CoSi, compared with undoped polysilicon becauseof the larger grain size [ 48,139,145] . The effects of various dopants have been examined [ 109 122,140,145]. Dopants have a small effect on the thermal stability except when the polysilicon is P doped and large grains are formed. Annealing in an oxidizing [ 1341 or Nz [ 1lo] atmosphere was found to reduce agglomeration. It is not clear why Nz should improve the stability of CoSi, since Co does not react with nitrogen. A PECVD SiOZ cap layer did not reduce the layer inversion [ 481. The sheet resistance was found to be independent of linewidth on (Si) [ 1091 but increased with decreasing linewidth on polysilicon [ 114,122,140]. Fine lines of CoSi,, formed on polysilicon with

E.G. Co&an et al. /Formation

and stability of silicides on polycrystalline

silicon

Si02 sidewalls [ 1141 and on (Si) [ 1441 have been found to be thinner at the edges. The increased R, with decreasing width was generally attributed to the morphology, thinner CoSi, at the edges or increased roughness. Recall, that with TiS&, increased R, with finer lines is attributed to difficulty in nucleating the C54 TiS& phase. Note that Co is the dominant diffusing speciesduring CoSi, formation (Table 3). As with the results for TiSi, agglomeration, the information will be divided into three sections, blanket films on (Si), blanket films on polysilicon or a+Si, and patterned features. 3.2.1, CoSi, blanketjlms

on single crystal Si

The annealing time and temperature necessary to increase R, by 30% in N2 for CoSi,/(Si) structures was also used by Jiang et al. [ 1lo] to determine an activation energy of = 5 eV for degradation due to agglomeration. The thickness dependencewas also examined; the degradation time constant was proportional to ( L!-&)~ where d was the silicide thickness and do= 11+ 2 nm. This suggested that the silicide degradation is associated with a diffusion process, most likely along grain boundaries. Using samples with different silicide thicknesses,the activation energies for degradation due to agglomeration was determined with both N2 and Ar atmosphere (4.6 and 5.3 eV, respectively). The CoSi2 films were more stable when annealed in N2 rather than Ar. It was also observed that during agglomeration, silicide islands moved down into the Si substrate rather than balling up on the substrate surface, indicating the possibility of a Si regrowth and silicide reformation process. The thermal stability of epitaxial CoSi, on (001) Si has been investigated by Hsia et al. [43] using Co/Ti/(Si) samples. The surface Ti-Co-Si layer was chemically etched off the CoSi2. With annealing at 1100 “C for lo-60 s, the film quality improved, the films were smoother, contained fewer antiphase boundaries, and had a lower resistivity ( = 10 /&cm). The mechanisms responsible the thermal instability of polycrystalline silicide films were also discussed. With single crystal Si, direct evidence of grain boundary diffusion induced CoSi,/(Si) interface roughness has been observed [ 28,291, Fig. 22; almost all the protrusions of the CoSi, layer into the (Si) were situated at the junction of the grain boundaries of the CoSi, and the CoSi,/Si interface. The formation of the protrusions at the CoSi2 grain boundaries was attributed to a faster diffusivity (up to

Fig. 22.TEM imagesandschematicshowingsilicidegrainboundaryinducedCoSi,/Si interfaceroughness. Reprintedfrom Xiao et al. [291.

79

80

E.G. Colgan et al. /Formation and stability of silicides on polycrystalline silicon

100 times) of Co atoms along the grain boundary than through the lattice [ 291. This results in an accelerated formation of CoSi, when the CoSi2 grains are small and increased CoSi,/Si interface roughness when the CoSi, grams are large. 3.2.2. Co,%, blanket films on polysilicon

or amorphous Si

The degradation of CoSi, on polysilicon generally occurs at lower temperatures than on (Si). With 1000 “C annealing, the CoS& was stable and showed no agglomeration on (Si) whereas with polysilicon, intermixing began around 700 “C and approached inversion given sufficiently thick polysilicon and higher annealing temperatures [ 1361. The activation energy needed for agglomeration of CoSi, structures was measured to be 3.8 eV using in-situ R, measurements [ 141. Note that this is lower than those reported for CoSi,/(Si) structures, about 5 eV [ 1101. Degradation generally occurs at lower temperature for CoSi, on polysilicon compared with TiSiz most likely because of the lower melting temperature of CoSi, and faster diffusivity. The grain growth in Co/polysilicon/Si02 structureshasbeen studied in extensive deiail by Nygren and Johansson [48,139]. At temperatures above 700 “C, the CoSi,/polysilicon structure broke down because of Si grain growth within the silicide and simultaneous silicide growth into the polysilicon layer. The regrown Si adopted the silicide texture and this process terminated when the entire polysilicon layer was consumed. After completion the layer configuration was inverted, with CoSiZ adjacent to the oxide and Si at the surface. The Si surface layer consisted of large grains with few crystal defects, very different from the initial columnar structure. With higher temperature annealing, grain growth in both phasescontinued and each grain ultimately extended from the oxide interface to the free surface, Fig. 23. It was proposed that a reduction of the free-energy contribution from grain boundaries and crystal defects was the driving force responsible for the observed morphological degradation, The Si grain growth constituted the main driving force for the layer inversion while the final stage consistsof grain growth in both phases. It was also suggested that in order to reach layer inversion, a dynamic dissociation/formation of CoSi, with Co diffusion is necessaryfor Si grain growth (i.e. metal diffusion, Fig. 8)) and not Si diffusion from the polysilicon through the silicide phase. Partial agglomeration during Co silicide formation has also been examined by Xiao et al, [ 41,137]. This is a phenomenon where the upper portion of a reacting Co or Co silicide film agglomerates independently from the main body of the silicide layer. The partial agglomeration phenomenon was explained by noting the band of voids above the silicide (probably due to the Kirkendall effect and the volume reduction from silicide formation) which isolated a thin Co-rich layer. This layer was thin enough that island formation occurred, reducing the diffusion of Co and Si between the two layers. Degradation of the film by this mechanism was most extensive for thin films (9-28 nm Co) and generally occurs at lower temperatures than whole film agglomeration. This work was extended to heavily doped (Si) [ 1461 and to polysilicon samples by Pramanick et al. [ 141,142], The stability of CoS& on polysilicon can be improved by grain growth in the polysilicon, amorphizing the Si, or capping the silicide. Dopants (B or As) implanted into the silicide had a smaller effect on improving the morphological stability [48,139]. Annealing in an oxidizing atmosphere can also prevent agglomeration by forming an encapsulating SiOZ layer [ 1341. There are a number of reports of improved stability of CoSi, on P-doped polysilicon compared with undoped polysilicon [48,139,145]. The grains are larger in the doped polysilicon which reduces the driving force for inversion [ 48,139]. When the polysilicon is amorphized by ion implantation before the Co deposition a planar and continuous CoSi, film is formed at a lower temperature with a smaller grain size, No agglomeration was observed after annealing at 700 “C for 30 s [ 1421. There have been a number of studies showing improved CoSi, morphology when the silicide is formed on amorphous Si compared with single crystal Si [28,135,146]. The CoSiZ also has a smaller

E.G. ColEan et al. /Formation

Si \

and stability of silicides on polycrystalline

silicon

ie

f b)

Fig. 23.Cross-sectional TEM imagesof CoSi,/polysiliconafter formationat 650“C andsubsequent furnaceanneals:(a) at 800“C for 15 min; (b) at 850 “C for 15 min; and (c) at 800,900, and 1000“C for 1 h each.Reprintedfrom Nygren and Johansson [48],

grain size [ 281 and is observed to form at lower temperatures (by about 50 “C) on amorphous Si compared with single crystal Si [ 281. These results indicate there is enhanced nucleation of Co%, on amorphous Si. The CoS&/Si interface was much smoother for CoSi, formed on amorphous Si [ 281. The benefits of preamorphization can be negated if the crystallization of the amorphized layer occurs faster than the silicide formation. Silicidation of amorphized heavily boron doped (Si) produced nonuniform layers because of the collision of the advancing silicidation and solid phase epitaxy (SPE) interfaces [ 1461. With As+ amorphized (Si), the SPE rate is substantially retarded and is much lower than the silicidation rate and a thick, planar, and uniform silicide layer was formed [ 1461.

81

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

200

silicon

nm

Fig. 2.5.TEM imageof CoSi, on B-dopedpolysiliconafter the final annealingat 800‘C for 30 s.Reprintedfrom Gambino et al. [ 1221.

3.3. Nisi and NiS& agglomeration

on polysilicon

Both Ni/polysilicon [ 13,14,147-1491 and Nilcr-Si [25,150] structures have been studied. Nisi has a number of potential advantages over TiSi2 and CoSi, for salicide applications. Ni is the moving species during Ni$i and subsequent Nisi formation (Table 3)) so a single step annealing can be used. With CoSi,, a selective etch must be performed after Co,Si formation to avoid bridging problems during CoSi formation (where Si is the dominant moving species). Additional advantages are a lower formation temperature [ 1471, less Si consumption, no linewidth dependence of R, [ 1491, and equivalent resistivity (Table 1). A one-step annealing and no line width R, dependence for 0.2-1.6 pm wide Nisi lines has been demonstrated [ 1491. The thermal stability of NiSia on heavily implanted single crystal Si has been studied as a function of dopant type [ 1501. NiSiz agglomeration was very severe after 800 “C 1 h anneals for Si’, B +, As+, or P+ implanted samples, The average grain size was greater than 0.5 ,um. With F+ and BFZf implanted samples, agglomeration was not observed after 900 “C 1 h anneals. The resistance to island formation was attributed to the retardation of Nisi,! grain growth by fluorine bubbles at the grain boundaries. It was suggested that the surface energy of NiSiz is considerably higher than that of Si and of the NiSi-JSi interface since the Nisi2 islands were always depressed into the Si with the NiS& surface in line with the original Si surface. The morphological instabilities of Nisi and Nisi2 on polysilicon and the effect of Ir or Pt additions were examined by Nygren et al. [ 1481. With Nisi, inversion can occur at 550 “C. Intermixing of the silicide and polysilicon decreased as the Nisi film thickness decreased. The addition of a thin Ir layer between the Ni and polysilicon improved the morphological stability of NiSi/polysilicon and reduced the temperature needed to form Nisi;! (by 200 “C). The addition of Pt had no effect on the Ni/ polysilicon reactions. The lower silicide formation temperature on cr-Si has been used by Eroknin et al. [ 251 to form localized NiSiz only on ion implanted amorphized Si regions at 360 “C. In recent work [ 141, we have investigated the thermal stability of silicides on polysilicon and found a strong correlation between the degradation and deformation temperatures, Fig. 18. Inversion of the silicide/polysilicon layers was observed for Nisi, Pd,Si, PtSi, and CoSi,, where the metal is the dominant moving species, Table 3. With TiSi2, Si is the dominant moving species and mixing was observed, but not layer inversion. These results are consistent with the agglomeration mechanisms

83

84

E.G. Colgan et al. / Fomation and stability of silicides on polycrystalline silicon

b

378°C 140 min

398°C 60 min

ll-lm

398°C 120 min

Fig. 26. SEM micrographs of Pd,Si/polysilicon annealed as indicated. The polysilicon grain growth can be observed. Reprinted from Hong et al. [ 141. in Fig. 8. The correlation between the polysilicon grain growth temperature and the deformation temperature is understandable for the case of silicide deformation, but it is puzzling for layer inversion. As was pointed out by Zheng et al. [ 131, the degradation scales with the silicide melting point. It should be noted that not only the deformation temperature but also diffusion scales with the silicide melting point. Another possibility is that some local silicide deformation is needed during the initial stages of layer inversion when the polysilicon grains are growing vertically. This paper also examined the layer inversion with NiSi/polysilicon samples and the polysilicon texture in detail, The activation energy of the morphological degradation for NiSi/polysilicon was determined to be 2.9 eV using in situ R, measurements. The polysilicon texture changed from ( 110) for the asdeposited samples to strongly (111) textured after layer inversion (polysilicon grain growth), The polysilicon grain growth kinetics during inversion were measured by SEM using Pd,Si/polysilicon samples, Fig. 26. The average grain size increased linearly with annealing time at a fixed temperature and no incubation was observed. The activation energy for polysilicon grain growth was 2.08 eV. The constant lateral growth rate of the polysilicon islands suggestan inter-facial reaction controlled growth mechanism. A similar linear relationship was observed by Allen et al. [ 521 for solid phase epitaxy of polysilicon with Au as the transport medium. shown schematically

3.4. Summary of silicide instabilities Silicide agglomeration and silicide enhanced grain growth in polysilicon are well documented for near noble metal silicides. Agglomeration has not been observed for refractory metal silicides such as WSi2, TaSi,, and MoSi,, probably because the temperatures required for agglomeration are not practical for Si devices. Similarly, there are no reports of silicide enhanced grain growth in polysilicon for WSi,, TaSi2, and MoSi2, due to the high deformation temperature and relatively low diffusivity of

E.G. Colgan et al. /Formation

and stability ofsilicides

onpolyc~stalline

silicon

the metal for these silicides. In general, the temperatures at which agglomeration and silicide enhanced grain growth occur scale with the deformation temperature of the silicide. The driving force for agglomeration of the silicide on single crystal is the reduction of the interface and surface energies of the silicide and the substrate. The kinetics of agglomeration are not well understood. Surface diffusion may be the dominant diffusion mechanism. The driving force for intermixing between silicides and polysilicon is grain growth in the polysilicon, with the silicide providing a transport medium which enhances the reaction rate. For near noble metal silicides (NiS&, CoSi,) , a progression in intermixing has been observed starting with ( 1) isolated protrusions of silicide in the polysilicon, (2) inversion of the silicide and polysilicon, and (3) mixing, where there are isolated islands of silicide and polysilicon. The behavior is similar for refractory metal silicides, such as TiS& except that inversion has not been observed for these silicides. A number of approaches have been used to minimize agglomeration and silicide enhanced grain growth. Capping layers improve the stability of both salicide and polycide structures, probably by mechanically constraining the silicide and thereby preventing morphological changes. For salicides, amorphizing the substrate prior to metal deposition generally reduces agglomeration. The resulting silicide has a small, uniform grain size, which is kinetically more stable. Another approach to reduce agglomeration is to improve the thermodynamic stability by forming an epitaxial silicide. Unfortunately, this approach is only applicable to CoSi, for the (100) Si substratesused in integrated circuit fabrication, and is difficult to implement in device structures, The thermodynamic driving force for silicide enhanced grain growth in polysilicon can be reduced by increasing the grain size of the polysilicon prior to silicide or metal deposition. 4. Discussion In this section, mechanisms for the instability of silicides on polysilicon are discussed. The first section focuses on interface roughening during initial silicide formation, the second section discusses silicide enhanced polysilicon grain growth and solid phase epitaxy, and the third section describes grain boundary grooving and island formation on single crystal Si. The fourth section covers the subsequent morphological changes of silicide/polysilicon structures after inversion or deformation. The next section discussesmethods for improving the stability of silicides on polysilicon and the final section is a summary of the discussion. 4.1. Inter$ace roughening

during silicide formation

As stated in Section 2, localized protrusion of silicides into polysilicon is found during the formation of many silicides. The non-uniform silicide/Si interface could result from (1) discontinuous inter-facial oxide, (2) preferential diffusion along certain silicide grain boundaries, (3) preferential diffusion along certain polysilicon grain boundaries, (4) nucleation-controlled reactions. Note that except for mechanism (3)) these all can also cause interface roughening for silicides on single crystal silicon. Roughening due to an interfacial oxide is a potential problem on any uncleaned Si substrate, regardless of its crystallinity ((Si), polysilicon, and a-Si). It is believed that the native oxide has localized weak points, where interdiffusion between metals and Si takes place preferentially. The native oxide is usually formed when exposing a clean Si wafer to the atmosphere at room temperature. In the case of polysilicon, doping by POC& at high temperatures would introduce an additional surface oxide [ 841. The increasing localized interdiffusion between MoSi, and polysilicon with increasing

85

E.G. Co&an et al. /Formation

86

and stabilio of silicides on polycrystalline

silicon

dopant concentration was shown to be caused by thicker oxide layers on polysilicon [ 841, Since the oxidation kinetics of Si is crystallographically dependent [ 1511, the surface oxide on polysilicon is expected to be more non-uniform than that on single crystalline Si. This may result in a more severe silicide protrusions with polysilicon. Silicide protrusions into silicon associatedwith grain boundaries in the silicide have been observed during CoSi, formation [ 28,29,152], Fig. 22. Protrusions can form by this mechanism if the dominant diffusing speciesmoves through the silicide primarily by grain boundary diffusion. The protrusions are expected to be more pronounced at low formation temperatures (grain boundary diffusion is favored over lattice diffusion). Protrusions are not associatedwith every grain boundary in the silicide, suggesting that the grain boundary structure affects the diffusivity of the dominant moving species (and hence the formation of protrusions). The severity of the protrusions will increase with the grain size, so a smaller grain size can be effective in reducing this problem. The role of grain boundaries in interface reactions has been described by d’Heurle et al. [ 1531. Another possible mechanism for interface roughening is localized silicide formation along grain boundaries in the polysilicon. This could occur in a number of ways including ( 1) enhanced diffusion of the metal along the grain boundaries in the polysilicon, and (2) an enhanced reaction between the metal and dopants that segregate to grain boundaries in the polysilicon, because of a large driving force for forming a metal-dopant compound compared with a silicide [ 641. There can also be localized thinning of the polysilicon at grain boundaries because of grain growth in the polysilicon [ 1541 or enhanced oxidation at grain boundaries of the polysilicon due to dopant segregation. Although these mechanisms seem plausible, there has been no direct observation of enhanced silicide formation along grain boundaries in polysilicon. Interface roughening can also be caused by nucleation controlled reactions [ l-5 1. Replacing monocrystalline Si with polysilicon does not reduce the nucleation barrier as discussedpreviously. On the contrary, additional non-uniformity may be introduced. This is because a nucleation process is very sensitive to any variation of interfaces, It has been demonstrated that C54 TiS& has a lower nucleation temperature on Si than that on SiO,? [ 1551. In addition, it is reported that the CM TiSiz nucleates at lower temperatures on ( 100) Si than on (111) Si [ 381. It is also known that epitaxial CoSiZ can be more easily formed on ( 111) Si than on ( 100) Si. Therefore it is very likely that these silicides may preferentially nucleate on certain polysilicon interfaces, resulting in a more non-uniform silicide/polysilicon interface than that of silicide/ (Si), 4.2. Silicide

enhanced polysilicon

grain growth and solid phase epitaxy

Both thermodynamic and kinetic factors are important in silicide enhanced polysilicon grain growth. The thermodynamic driving force is the reduction in grain boundary and interface energy. The reaction is kinetically enhanced by the silicide which provides a fast diffusion path for the moving species. These issues are discussed in more detail below. In addition, there is a discussion of solid phase epitaxy in metal-Si systems, which is similar to and provides insight into silicide enhanced polysilicon grain growth. Experimental results show that silicides are less stable on polysilicon than on (Si) (see for example Karlin et al. [ 801) . This is especially evident in the case of near noble metal silicides. For example, Nisi becomes morphologically unstable at temperatures above 500 “C, while it remains stable on single crystalline Si up to 800 “C, at which temperature it transforms to NiS&. Polysilicon plays an important role in the morphological degradation of silicide on polysilicon structures. The LPCVD-deposited, undoped polysilicon usually has a small grain size ( < 30 nm) . After the silicide degradation

process is completed,

the grain size of polysilicon

is more than 10 times larger. This

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

indicates that silicide degradation on polysilicon is driven by the reduction of the grain boundary energy of the polysilicon. Increasing the polysilicon grain size improves the stability of silicides [13,4&l 17,134,145,156]. In recent unpublished work, with 200-nm films of polysilicon deposited at 625 “C, we have found that annealing the polysilicon at 1000 or 1100 “C for 30 s before Co deposition improves the CoSiz thermal stability and also slightly delays the silicide formation. In addition to grain boundary energy reduction, surface energy minimization may also play a role. Our experimental results [ 141 and those of Nygren et al. [48] show the crystallographic texture of polysilicon changes from ( 110) before grain growth to ( 111) after grain growth. Since the texture change is observed in all the silicide/polysilicon systems studied, it is unlikely that it results from epitaxial realignment of polysilicon to the silicide, the structure of which varies from cubic for CoSi, to orthorhombic for Nisi. Moreover, in most cases,the silicides are randomly oriented. The change of texture from ( 110) to ( 111) has been reported in secondary grain growth of doped polysilicon [ 1571. Secondary grain growth is a process in which only a few existing grains with preferred orientations grow. Energetics calculations show that for Si the surface energy of (111) planes is a minimum compared with other orientations [ 1581. Therefore, during secondary growth in polysilicon films, grains with (111) planes parallel to the surface outgrow those with other orientations. In the case of silicide/polysilicon layered structure, the grain growth process may be described as follows. Since the as-deposited polysilicon initially is not at the surface, a certain percentage of existing grains with all the possible orientations would grow vertically into the silicide. When the growing Si grains reach the surface, grains with ( 111) planes parallel to the surface would continue to grow at a rate faster than that of grains with other orientations. During secondary grain growth of polysilicon, only existing grains with preferred orientations grow [ 1571. No new grains nucleate. However, changes in texture can also result from recrystallization [ 1591 where new grains nucleate and grow. Our experimental results [ 141 on PdzSi/polysilicon show the density of polysilicon islands remains a constant at a fixed temperature and does not change significantly with changing temperature (E, = 0.3 eV). In this sense, it seems that the process of polysilicon grain growth in silicide resembles more that of secondary grain growth. Consequently the kinetics for the degradation of silicide/polysilicon are determined primarily by the growth of the existing polysilicon grains. It is well known that significant grain growth of intrinsic polysilicon on inert substrate requires temperatures higher than 950 “C, because of the low self-diffusivity of Si. The presence of a silicide overlayer provides a fast transport medium for polysilicon grain growth at lower temperatures. This would result in mixing of polysilicon with the silicide and, in many cases,a complete layer inversion, The rate-limiting step for the polysilicon grain growth into the silicide is not yet known, The onset temperature for polysilicon grain growth is not very well correlated to the silicide formation temperature [ 141. It is also found that the activation energy for the lateral growth of polysilicon islands is much higher than that for the silicide formation [ 141. Since silicides form via the motion of the dominant moving species, these experimental results indicate diffusion of the dominant moving species in the silicide is not the rate-limiting step for the grain growth of polysilicon in the silicide. However, there is a strong correlation between the onset temperature for polysilicon grain growth and the deformation temperature for the silicides, Fig. 18. This correlation can be explained by the fact that the initial grain growth of polysilicon into the silicide requires the deformation of the silicide to accommodate the intruding Si grains. The stronger the silicide, the more stable the silicide would be on polysilicon. Indeed, Zheng et al. [ 1171 have demonstrated improved thermal stability of TiS& on polysilicon by using a TaSiJTiSi, composite, which is expected to deform at a higher temperature than TiSiz alone. Once the silicide becomes soft, the polysilicon grains can grow freely into the silicide and subsequent grain growth proceeds laterally. The constant lateral growth rates of polysilicon islands suggest an

87

88

E.G. Colgan et al. /Formation and stabilip of silicides on polycrystalline silicon

interfacial reaction controlled growth mechanism. Allen et al. [52] have reported a similar relation between polysilicon grain size and annealing time during grain growth of polysilicon in Au. An activation energy of 1.9 eV for grain growth was interpreted as that for adding a Si atom onto the growing Si grains. In the case of silicide/polysilicon, the activation energy varies with different silicides. Therefore, if the above mechanism is still applicable, the magnitude of the energy for attaching a Si atom must be dependent on the nature of silicide/Si interface. The kinetics also determine the morphology after polysilicon grain growth, Fig. 8. With fast metal diffusion (CoS& or NiSQ an inversion and/or mixing of the silicide and polysilicon layers can result, preserving the initial surface texture [48,134]. For the case of silicon diffusion (TiS&), an approximately constant thickness of silicide is maintained over the growing Si grains (Figs. 8 and 17). The Si grain growth below the silicide requires deformation of the silicide, which occurs around 0.6 Smelt [ 14,117]. It is interesting to note that a capping layer above [ 42,117] or ion implantation of nitrogen [ 351 or carbon [ 1171 into TiSiZ reduced agglomeration, whereas with CoSiz a capping layer did not reduce inversion [48]. This is consistent with the dependence of the morphology on metal or silicon diffusion during the initial polysilicon grain growth. We are not aware of any examples of layer inversion occurring for TiSiJpolysilicon films. The only result which is suggestive of TiSiJpolysilicon inversion [ 1601 is not very convincing and could be due to mixing of the TiS& and polysilicon layers. The grain growth/recrystallization of polysilicon or a-Si in contact with silicide is very similar to solid phase epitaxy, as has been noted by a number of authors [35,49,120,145]. The numerous studies on solid phase epitaxy provide insight into silicide enhanced grain growth and are therefore discussed in more detail below. Solid phase epitaxy with a transport medium and inversion was first observed with Al/polysilicon structures [ 511, The final morphology was found to depend on the relative thicknessesof the Al and polysilicon layers. With the Al thicker than the polysilicon, large Si precipitates formed in an Al matrix. In the case of Al layers thinner than the polysilicon, inversion occurs and a nearly continuous Si film forms on the top surface with approximately the thickness of the original Al layer. It was proposed that polysilicon grain growth occurred because of the reduction in energy from the increased crystallite size. It was suggested that grain growth would occur if the thickness of the transporting layer is large compared with the grain size of the polysilicon, because this thickness limits the crystallite size.Further work with Al [ 1611 found a faster crystallization rate for cr-Si than polysilicon ( = 200 nm grain size), which is consistent with the postulate that the driving force for reaction is the free energy difference. The crystallization rate for polysilicon decreased when the Al layer thickness was reduced to less than the initial Si grain size. Studies of silicide enhanced grain growth as a function of metal and polysilicon thickness have not yet been reported, but might be expected to yield similar results. Solid phase epitaxy (SPE) in silicide forming systemshas been examined in detail with cu-Si/ M/(Si) structures for a number of metals [ 1621. For the nine metals examined, regrowth of the a-Si on the (Si) substrate was observed, with the silicide acting as a transport medium. The temperature at which SPE took place, at a given rate, generally increased with the heat of formation, the melting point, and the formation temperature of the silicide. The transport takes place usually at or above 0.5 T, of the silicide. In a further review [ 501, which included SPE both without and with a transport medium for Si and Ge, eutectic systems (such as Al-Si) were discussed along with silicides. The temperature of SPE growth for eutectic systemswas correlated with, and slightly below, the eutectic temperature. A similar correlation has been madebetween silicide enhancedgrain growth in polysilicon and the melting temperature of the silicide [ 131. Recent work [ 52,163] with Au/polysilicon bilayers (Au thinner than polysilicon) has examined the grain growth process and kinetics in detail. Si was transported laterally from the polysilicon to

E.G. Colgan et al. /Formation and stability of silicides on polyctystalline silicon

89

form large (400 ,um2) plate-shaped (Si) crystals of thickness equal to that of the Au layer. The displaced Au penetrated into the polysilicon layer. The large Si crystals had the same preferred orientation (110) as the initial polysilicon film. The origin of the large crystals was concluded not to be nucleation, but rather the growth of already existing large grains initially at the Au/polysilicon interface. The growth of pre-existing grains at the silicide/polysilicon interface is a likely mechanism for silicide enhanced grain growth, as mentioned earlier. 4.3. Grain boundary grooving

and agglomeration

on (Si)

Agglomeration of a silicide thin film on a Si substrate can be described as a combined process of the following sequential events. First, grooves are developed at the intersections between the silicide grain boundaries and the surface of the silicide films and the silicide/Si substrate interface. This is followed by the deepening of the grooves along the grain boundaries and eventual separation of the silicide grains. Finally, individual silicide grains separate further to form isolated islands on the Si substrate. Grain boundary grooving is initiated by the tendency for reduction of grain boundary area and hence free energy. However, the reduction of grain boundary area is countered by an increase in the area of surface and/or film/substrate interface area near the grooves. The minimization of the total surface/grain boundary energy at the grooves yields a well-known relation between the surface energy, us, grain boundary energy, cn, and the angle between the surface and grain boundary planes, 6’s: uB = 20-,

cos efs

(2)

A similar relation holds for grooves at the film/substrate interface, Fig. 7(a). Satisfaction of these equations guarantees the local thermodynamic equilibrium at the grooves, but not necessarily the global equilibrium of the film/substrate system.The gradient of the curvature at the surface and/ or film/substrate interface provides a thermodynamic driving force under which materials would continue to move, via surface diffusion, from the grooves to the surface of the film and/or the film/ substrate interface until a surface and an interface with constant curvatures, i.e. a part of a sphere, forms. It is noted that during the development of grain boundary grooves, the entire substrate is still covered with the film, and therefore the surface energy of the substrate, asi, Fig. 7(b), need not be taken into account. Mullins [46] first treated the kinetics of the grain boundary grooving in bulk materials. The depth of grooves was found to be proportional to the (annealing time) 1’4 and the cn, and 1/(gs)3’4. For a thin film on a substrate, simple analysis involving force equilibrium and mass conservation shows the equilibrium depth of grooves increases with increasing grain size, increasing grain boundary energy, decreasing film thickness, decreasing surface energy and the film/substrate interface energy [40,41,87]. For TiSi2 and CoSi, on Si, the critical grain sizesbeyond which island formation will occur have been estimated to be 5-10 times the film thickness. When the depth of grooves equals the film thickness, the surface of the substrate is exposed. In the work of Srolovitz and Safran [ 1641, theseexposed regions were treated ascylindrical holes situated on a rigid substrate with spacing equal to the grain size.By minimizing the total energy of film surface, us, exposed substrate, usi, and the film/substrate interface, gI (see Fig. 7(b) ), the equilibrium shape of the film surface was obtained. The energy at the equilibrium state was found to be a function of p, the size ratio of the hole to the grain, and a parameter p = (R/Lz)~( 1+ ( cI - asi) /as), where R and a are grain size and initial thickness of a uniform film, and the surface energies are as defined in Fig. 7 (b) . Analysis of energy-p curve yields the following conclusions: ( 1) a critical p is required for a hole to grow; (2) when (1) is satisfied and p> 19.5, holes would grow to an stable equilibrium size at which the system energy is a minimum; (3) if p > 500, the equilibrium size would be such that

90

E.G. Colgan et al. /Formation and stability of silicides on polycrystalline silicon

percolation, i.e. hole-island transformation, occurs. A similar treatment [ 1641 of thin film islands on a rigid substrate shows the equilibrium shape of the film surface is close to a hemispherical cap. Furthermore, a stable equilibrium island size is reached only when /3 is larger than 27. It follows that once percolation begins (p > 500)) the island would continue to grow to its equilibrium size. That /?should be a positive number is satisfied by the relation cI + us > Pai, a necessarycondition for film islanding on a substrate.A larger /3 should lead to easier hole and island formation (agglomeration). From the definition of /?, a larger p can be achieved by increasing grain size and decreasing film thickness. However, if grain size approaches infinity (i.e. p approaches zero for an finite size hole), conclusion (1) shows the hole would shrink. This explains the stability of an epitaxial film. The relation between p and the three surface energy terms is quite intriguing. The parameter p increases with increasing film/substrate interface energy and decreasing substrate surface energy. However, depending on the sign of (or- usi, which determines the wetting geometry of the film, increasing the film surface energy can either increase or decreasep. In papers by Jeon et al. [ 36,371, crsi/ ((us + or) was correlated to the density of islands. They concluded a smaller Usi and a larger us and (TVinduced more islanding. Their experimentally measured surface energies in the TiS&/Si system showed uI was smaller than Usi for both ( 100) and (111) Si substrate. Therefore, p should increase with increasing crs in this case. It should be pointed out that the analysis of the grain boundary grooving and that of hole/island formation give similar conclusions regarding grain size and film thickness dependence but opposite conclusions on surface/interface energy dependence for morphological stability. It seemsa unified model including both grain boundary grooving, the initial stage of agglomeration, the hole/island formation, and the later stage of agglomeration is required. Finally, we would like to point out grain growth of silicides is not considered in the agglomeration models, which predict improved morphological stability for smaller silicide grains, However, a smaller grain size provides a larger thermodynamic driving force for grain growth and accompanying morphological instability (e.g. complete mixing between silicide and polysilicon grains at high temperatures). Nevertheless, the grain sizes of silicides after their formation are usually comparable to or larger than the film thickness. Therefore, normal grain growth is impeded by grain boundary grooving [47]. Secondary grain growth driven by lower surface energy of a few grains has not been reported in silicide thin films on single crystalline Si. It is possible that silicide grain growth requires a higher temperature than that for grain boundary grooving and island formation. Given enough mobility, the separated silicide islands (grains) would eventually coalescethrough Ostwald ripening. 4.4. Grain growth of silicides and polysilicon

Silicide agglomeration on polysilicon is more complex than on (Si) becauseof a combination of mechanisms such as silicide enhancedpolysilicon grain growth, silicide grain boundary grooving and island formation, and silicide grain growth. Clearly, for the caseof a very thin silicide on thick, large grain polysilicon, silicide agglomeration will be the dominant mechanism, whereas for very thick silicides on thin, small grain polysilicon, silicide enhanced grain growth will be the dominant mechanism. However, for practical cases,which are generally somewhere between these extremes, which mechanism dominates is not so clear. In general, for typical silicide and polysilicon thicknesses and grain sizesof interest, layer inversion or silicide deformation is likely to occur initially. Note that these processes are driven by the reduction of the polysilicon grain boundary and interface energy. With additional annealing (at higher temperatures), silicide grain growth and further polysilicon grain growth can occur, resulting in grains of both polysilicon and silicide extending through the thickness of the film (mixing). This is driven by a reduction of the interfacial energy of both the silicide and polysilicon.

E.G. Colgan et al. /Formation

4.5. Processing

and stabili9 of silicides on polycrystalline

silicon

considerations

A number of process modifications have been discussed which result in improved silicide morphologies and/or thermal stability. The only one of these which fundamentally changes the thermodynamical driving force for agglomeration is increasing the polysilicon grain size prior to metal or silicide deposition, Annealing of the polysilicon, especially if it is As- or P-doped, can increase the polysilicon grain size and improve the thermal stability against agglomeration. The use of ion implantation of the polysilicon before metal deposition, ion mixing of the metal/polysilicon interface, or a deposited cr-Si layer between the metal and polysilicon actsto change the silicide formation nucleation and kinetics, not the driving force for agglomeration at high temperatures. In general, these techniques all result in a more uniform reaction and smoother silicide/polysilicon interface. This reduces the problems with silicide protrusions into the polysilicon due to enhanced diffusion along silicide grain boundaries and void formation during silicide oxidation. Ion mixing of the metal/polysilicon interface can also disperse a barrier layer to diffusion. The use of amorphous Si also results in smaller silicide grains and in cases a lower silicide formation temperature. This could be due to improved silicide nucleation, an increased thermodynamical driving force for silicide formation, or faster silicide formation with smaller silicide grains. The use of capping layers has also been found to be effective in improving the thermal stability of silicide/polysilicon structures. A number of reasonsfor the improved thermal stability with capping layers have been suggested including; increased mechanical constraint against deformation, reducing surface diffusion, and reducing surface energy. There have also been a number of observations about the effects of dopants in the polysilicon or impurities in the metal or silicide layer on the thermal stability of silicide/polysilicon structures. In general, these can improve the thermal stability by reducing diffusion along short circuit paths such as surfaces and grain boundaries. The dopants can also be important in determining the polysilicon grain size. The amount of agglomeration has also been found to depend on the annealing atmospheres, with N2 for TiSi2 and N2 or oxygen for CoSi, being most effective in reducing agglomeration. Reduced agglomeration was attributed to surface layers which mechanically constrain the silicide. There are a number of practical limitations to implementing these solutions. The ion energy for ion implantation or ion mixing techniques must be carefully chosen to avoid damaging underlying devices [ 1651. Deposition of an amorphous Si layer prior to silicide or metal deposition is compatible with the polycide process (where the amorphous Si is patterned by RIE with the other layers in the gate stack) but is not compatible with a salicide process (unless the amorphous layer is patterned before metal deposition). Increasing the grain sizein the polysilicon prior to metal deposition may not always be possible because of device constraints on the thermal budget and doping. Capping layers must be chosen carefully so that they can be easily patterned. In manufacturing, the best solution is often some combination of these approaches which is compatible with the overall process integration and economical to implement. 4.6. Summary

of discussion

The roughening of silicides on single crystal Si can be due to non-uniform metal-Si reactions (for the salicide process), oxidation, or agglomeration (for the salicide or polycide process). For silicides on polysilicon, there is an additional mechanism for roughening due to silicide enhanced grain growth in the polysilicon.

91

92

E.G. Colgan et al. /Formation

and stability of silicides on polycrystalline

silicon

Non-uniform silicide formation has been attributed to various mechanisms including discontinuous native oxide layers at the metal-Si interface, preferential diffusion along grain boundaries in the silicide, or nucleation controlled reactions. Agglomeration is driven by the reduction of total interface and surface energies of the silicide and substrate system. Agglomeration starts with grain boundary grooves at the intersection of silicide grain boundaries, which eventually separateinto individual grains. The tendency for a film to agglomerate is opposed by the increase in surface energy of the film and the substrate. Hence, a hole in the film will not be stable until it reaches a critical size,where the increase in surface energy is balanced by the decrease in film grain boundary energy and the film-substrate interface energy. Hence, silicide films with small grains are kinetically more stable than those with large grains. Silicide enhanced polysilicon gram growth is driven by the reduction in gram boundary area in the polysilicon and is kinetically enhancedby the silicide, which provides a fast diffusion path, Because of the additional driving force, silicides on polysilicon are less stable than those on single crystal Si. The grain growth in the polysilicon starts from existing grains, and therefore is a secondary grain growth process (as opposed to recrystallization of new grains). Silicide enhanced grain growth is similar to solid phase epitaxy. Based on solid phase epitaxy experiments, it is expected that silicide enhanced grain growth should depend on the thicknessesof the polysilicon and the metal, with less grain growth as the metal thickness decreases. 5. Conclusions The formation of stable, uniform silicide films on polysilicon is clearly challenging and will continue to be so as device dimensions are further reduced. Much progress has been made in recent years in understanding the mechanismsof silicide agglomeration, The control of surface diffusion by the use of capping layers or appropriate annealing atmospheres has been shown to effectively inhibit agglomeration. However a number of questions remain, such as for what range of process conditions are silicide layers with small grain sizesmore stable than silicide layers with large grain sizes?Similarly, much progress has been made in understanding silicide enhanced grain growth. The increase in grain size of the polysilicon has been used to decreasethe driving force for layer inversion or deformation, Also, the recognition that deformation of the silicide is required for polysilicon grain growth ,has resulted in guidelines for choosing an appropriate silicide on polysilicon. However, questions remain here as well, such as how do the initial large grains form? Also, for what process conditions does polysilicon gram growth dominate compared with silicide agglomeration? Clearly, the stability of silicides on polysilicon will continue to be an active area of research in the years ahead. Acknowledgements We would like to thank F.M. d’Heurle for his heroic efforts reviewing the manuscript, J.M.E, Harper for his support of this project, and our colleagues for permission to reproduce their figures. This manuscript was also reviewed by M. Ostling. References [l] K.N. Tu and J.W. Mayer, in J.M. Poate, K.N. Tu and J.W. Mayer (eds.), Thin Films - Interd#irsion and Reactions, Wiley, New York, 1978, Chap. 10.

E.G.

Colgan

et al. /Formation

and stability

of silicides

[2] G. Ottaviani and J.W. Mayer, in M.J. Howes and D.V. Morgan (eds.), Reliability

on polycrystalline

and Degradation,

silicon

Wiley, New York, 1981, Chap.

n

[ 31 &-A. Nicolet and S.S. Lau, in N.G. Einspruch and G.B. Larrabee (eds.), VLSI Electronics: Microstructure Science, Vol. 6, Academic Press, New York, 1983, Chap. 6. [4] S.P. Murarka, Silicidesfor VLSIApplications Academic Press, New York, 1983. [S] F.M. d’Heurle and P. Gas, J. Mater. Res,. 1 (1986) 205. [6] CM. Osbum, Q.F. Wang, M. Kellam, C. Canovai, P.L. Smith, G.E. McGuire, Z.G. Xiao and G.A. Rozgonyi, Appl. SurJ Sci., 53 (1991) 291. [7] K. Maex, Mater. Sci. Eng., RI1 (1993) 53. [8] H. Foll and P.S. Ho, J. Appl. Phys., 52 (1981) 5510. [9] CA. Crider, J.M. Poate, J.E. Rowe, T.T. Sheng and S.D. Ferris, J. Vat. Sci. Tech., 17 (1980) 433. [ lo] H. Bergerand S.-Y. Lin, in S. Broydo and CM. Osbum (eds.), ULSIScience and Technology 1987, Electrochem. Sot., Inc., Pennington, NJ, 1987, Vol. 87-l 1, p. 434. [ 1l] D. Pramanik, A.N. Saxena, O.K. Wu, G.G. Peterson and M. Tanielian, J. Vat. Sci. Tech., B2 (1984) 775. [ 121 T.B. Massalski (ed.), Binary Alloy Phase Diagrams, 2nd edn., ASM International, Materials Park, OH, 1990. [13] L.R. Zheng, L.S. Hung, S.Q. Feng, P. Revesz, J.W. Mayer and G. Miles, Appl. Phys. Left., 48 (1986) 767. [ 141 Q.Z. Hong, S.Q. Hong, F.M. d’Heurle and J.M.E. Harper, Thin Solid Films, 253 (1994) 479. [ 151 R. Beyers and R. Sinclair, J. Appl. Phys., 57 (1985) 5240. [ 161 H.J.W. van Houtum, I.J.M.M. Raaijmakers and T.J.M. Menting, J. Appl. Phys., 61 (1987) 3116. [ 171 F.M. d’Heurle and C.S. Petersson, Thin Solid Films, 128 (1985) 283. [18] C.S. Pai, F.A. Baiocchi and D.S. Williams, J. Appl. Phys., 67 (1990) 1340. [19] L.S. Hung, J. Gyulai, J.W. Mayer, S.S. Lau and M.-A. Nicolet, J. Appl. Phys., 54 (1983) 5076. [20] J.M.M. De Nijs and A. Van Silfhost, Appl. Surf: Sci., 40 (1990) 359. [21] F.M. d’Heurle, P. Gas and J. Philibert, Solid State Phenomena, 41 (1995) 93. [22] A.C. Adams, in S.M. Sze (ed.), VLSI Technology, McGraw-Hill, NY, 1983, Chap. 3. [23] H.-J. Kim and C.V. Thompson, in R.J. Nemanich, P.S. Ho and S.S. Lau (eds.), Thin Films-Interfaces and Phenomena, Materials Res. Sot., Inc., Pittsburgh,-PA, 1985, Vol. 54, p. 729. [24] C.V. Thompson, L Appl. Phys., 58 (1985) 763. [25] Y.N. Erokhin, F. Hong, S. Pramanick, G.A. Rozgonyi, B.K. Pamaik and C.W. White, Appl. Phys. Lett., 63 (1993) 3 173. [26] F. d’Heurle, C.S. Petersson, J.E.E. Baglin, S.J. La Placa and C.Y. Wong, J. Appl. Phys., 55 (1984) 4208. [27] J.P. Gambino, M.D. Monkowski, P.J. Tsang, J.F. Shepard, C.M. Ransomand C.Y. Wong, J. Electrochem. SOL, I36 (1989) 2063. [28] Z.G. Xiao, J.W. Honeycutt and G.A. Rozgonyi, Mat. Res. Sot. Symp. Proc., Vol. 202, 1991, p. 259. [29] Z.G. Xiao, J.W. Honeycutt and G.A. Rozgonyi, Mater. Res. Sot. Symp. Proc., Vol. 260, 1992, p. 429. [30] H. Jiang, C.S. Petersson and M-A. Nicolet, Thin Solid Films, 140 (1986) 115. [31] F.M. d’Heurle, A. Cros, R.D. Frampton and E.A. Irene, Philos. Mag. B., 55 (1987) 291. [32] N. Hsieh and L. Nesbit, J. Electrochem. Sot., 131 (1984) 201. [33] A.K. Nanda, SM. Merchant, V.C. Kannan, J.A. Taylor and C.W. Wilkins, MRS Co@ Proc., ULSI IX, 1994, p. 497. [34] M. Ayukawa, A. Shimoda, K. Matsuda and K. Sakiyama, 1987 VMIC Conf. Proc., p. 314. [35] P. Revesz, L.R. Zheng, L.S. Hung and J.W. Mayer, Appl. Phys. Left., 48 (1986) 1591. [36] H. Jeon, R.J. Nemanich, J.W. Honeycutt and G.A. Rozgonyi, Mat. Rex Sot. Symp. Proc., Vol. 160,1990, p. 307. [37] H. Jeon and R.J. Nemanich, Thin Solid Films, 184 (1990) 357. [38] H. Jeon, CA. Sukow, J.W. Honeycutt, G.A. Rozgonyi and R.J. Nemanich, J. Appl. Phys., 71 (1992) 4269. [39] R.J. Nemanich, H. Jeon, CA. Sukow, J.W. Honeycutt and G.A. Rozgonyi, Mater. Res. Sot. Symp. Proc., Vol. 260,1992, p, 195. [40] T.P. Nolan, R. Sinclair and R. Beyers, J. Appl. Phys., 71 (1992) 720. [41] Z.G. Xiao, G.A. Rozgonyi, CA. Canovai and CM. Osbum, Mater. Res. Sot. Symp. Proc., Vol. 202, 1991, p. 101. [42] R.K. Shukla and J.S. Multani, Proc. 4th VLSI Multilevel Interconnection Co@, 1987, p, 470. [43] S.L. Hsia, T.Y. Tan, P. Smith and G.E. McGuire, J. Appl. Phys., 72 (1992) 1864. [44] This point was first brought to our attention in a private communication from Francois d’Heurle. [4.5] T. Yoshida, S. Ogawa, S. Okuda, T. Kouzaki and K. Tsukamoto, Mater. Res. Sot. Symp. Proc., Vol. 10, 1989, p. 473. [46] W.W. Mullins, J. Appl. Phys., 28 (1957) 333. [47] W.W. Mullins, Acra. Metal., 6 (1958) 414. [48] S. Nygren and S. Johansson, J. Appl. Phys., 68 (1990) 1050. [49] S. Nygren and F.M. d’Heurle, Solid Stare Phenomena, 23L24 (1992) 81. [50] S.S. Lau, J.W. Mayer and W. Tseng, in T.S. Moss and S.P. Keller (eds.), Handbook on Semiconductors: Materials Properties and Preparation, Vol. 3, North Holland, New York, 1980, Chap. 8. [51] K. Nakamura, M-A. Nicolet, J.W. Mayer, R.J. Blattner and CA. Evans, J. Appl. Phys., 46 (1975) 4678. [52] L.H. Allen, J.R. Phillips, D. Theodore, C.B. Carter, R. Soave, J.W. Mayer and G. Ottaviani, Phys. Rev. B, 41 (1990) 8203. [53] M.F.C. Willemsen, A.E.T. Kuiper, A.H. Reader, R. Hokke and J.C. Barbour, J. Vat. Sci. Technol., B6 (1988) 53. [54] M.E. Alperin, T.C. Hollaway, R.A. Haken, CD. Gosmeyer, R.V. Karnaugh and W.D. Parmantie, IEEE Trans. Electron Devices, ED32 (1985) 141. [55] C.Y. Ting, F.M. d’Heurle, S.S. Iyer and P.M. Fryer, J. Electrochem. Sot., 133 (1986) 2621.

93

E.G. Colgan et al. /Formation

94

and stability of silicides on polycrystalline

silicon

[56] C.Y. Wong, T.N. Nguyen, Y. Taur, D.S. Zicherman, D. Quinlan and D. Moy, in S. Broydo and CM. Osbum (eds.), UL.SIScience and Technology, 1987, Electrochemical Society Inc., Pennington, NJ, 1987, Vol. 87-11, p, 155. [57] Y.-C& Yu and V.F. Drobny, J. Electrochem SOL, 136 (1989) 2076. [58] N.-I. Lee, Y.-W. Kim and S.T. Ahn, Jpn. J. Appl. Phys., 33 (1994) 672. [59] M. Tanielian, D. Pramanik and S. Blackstone, IEEE Electron Device Lett., EDL-6 (1985) 221. [60] M. Tanielian, R. Lajos, S. Blackstone and D. Pramanik, J. Elecfrochem. Sot., 132 (1985) 1456. [61] T. Hori, N. Yoshii, H. Iwasaki, M. Fukumoto and T. Ohzone, VLsISymp. Tech Dig., 1987, p, 63. [62] K. Kobushi, S. Okada, S. Kameyama and K. Tsuji, Extended Abstracts of the 20dr Con8 Solid State Devices rind Materials, Tokyo, 1988, p. 81. [63] S. Nygren, M. &tling, C.S. Petersson, H. NorstrGm, K.H. RydBn, R. Buchta and C. Chatfield, Thin Solid Films, I68 (1989) 325. [64] C.Y. Wong, F.S. Lai, P.A. McFarland, F.M. d’Heurle and C.Y. Ting, J. Appl. Phys., 59 (1986) 2773. [65] J.F. Jongste, G.C.A.M. Janssenand S. Radelaar,Appl. Sltd Sci., 53 (1991) 212. [66] R. Beyers, J. Appl. Phys., 56 (1984) 147. [67] S.S. Iyer, C.Y. Ting and P.M. Fryer, J. Electrochem. SOL, 132 (1985) 2240. [68] J. Amano, K. Nauka, M.P. Scott, J.E. Turner and R. Tsai, Appl. Phys. I.&t., 49 (1986) 737. [69] C.Y. Wong, L.K. Wang, P.A. McFarland and C.Y. Ting, J. Appl. Phys., 60 (1986) 243. [70] L. Van den Hove, R. Wolters, K. Maex, R.F. De Keersmaecker, G.J. Declerck, IEEE Trans. Electron Devices, ED-34 (1987) 554. [71] E.K. Broadbent, R. Irani, A.E. Morgan, Proc. 5th VLSIMultilevel Interconnection Con$, 1988, p, 175. [72] E.K. Broadbent, R.F. Irani, A.E. Morgan and P. Maillot, ZEEE Trans. Electron Devices, ED-36 (1989) 2440. [73] C.-S. Wei, G. Raghavan, M. Lawrence, A. Dass, M. Frost, T. Brat and D.B. Fraser, Proc. 6th VLSIMultilevel bltercormection Cot&, 1989, p. 241. [74] L. Van den Hove, J. Vanhellemont, R. Wolters, W. Claassen, R. De Keersmaecker and G. Declerck, in M. Scott, Y. Akasaka and R. Reif (eds.), 1st Int. Synp. Advanced Materialsfor ULSI, Electrochem. Sot. 88-19, Pennington NJ, 1988, p, 165. [75] R. Liu, F.A. Baiocchi, L.A. Heimbrook, J. Kovalchick, D.L. Malm, D.S. Williams and W.T. Lynch, in S. Broydo and CM. Osbum (eds.), ULSI Science and Technology 1987, Electrochem. Sot. Inc., Pennington, NJ, 1987, Vol. 87-11, pa446. [76] R. Liu, D.S. Williams and W.T. Lynch, J. Appl. Phys., 63 (1988) 1990. [77] M. El-Diwany, J. Borland, J. Chen, S. Hu, P.V. Wijner, C. Vorst, V. Akylas, M. Brassington and R. Razouk, IEEEIEDM Tech. Dig., (1989) 245. [78] M. El-Diwany and M. Brassington, IEEE Trans. Electron Devices, ED-39 (1992) 1262. [79] J. Gambino and B. Cunningham, J. Electrochem. SOL, 140 (1993) 2654. [80] T.E. Karlin, S.-L. Zhang, K.-H. RydCn,S. Nygren, M. dstlingand F.M. d’Heurle,Appl. Slt$ Sci., 73 (1993) 277. [81] J.P. Gambino, B. Cunningham, F.E. Tureneand J.F. Shepard, Mater. Res. Sot. Symp. Proc., Vol. 106, 1988, p, 181. [82] G. Grivna, J. Kirchgessner and J. Carlson, J. Vuc. Sci. Technol., El0 (1992) 53. [83] C. Koburger, M. Ishaq and H.J. Geipel, J. Electrochem. SOL, 129 (1982) 1307. [84] M. Fukumoto, A. Shinohara, S. Okada and K. Kugimiya, IEEE Trans. Electron Devices, ED-31 (1984) 1432. [85] K. Sakiyama, Y. Yamauchi and K. Matsuda, J. Vat. Sci. Technol., B3 (1985) 1685. [86] D.L. Brors, J.A. Fair, K.A. Monnig and KC. Saraswat, Solid Stute Technol., 26 (1983) 183. [87] K.C. Saraswat, D.L. Brors, J.A. Fair, K.A. Monnig and R. Beyers, IEEE Trans. Electron Devices, ED-30 (1983) 1497. [88] K. Shenai, P.A. Piacente, N. Lewis, G.A. Smith, M.D. McConnell, D.W. Skelly and B.J. Bagila, MRS Corlf: Proc. V.WIV, (1989) 333.

[89] Y. Shioya, S. Kawamura, I. Kobayashi, M. Maeda and K. Yanagida, J. Appl. Phys., 61 (1987) 5102. [90] P.J. Wright and KC. Saraswat, IEEE Trans. Electron Devices, ED-36 (1989) 879. [91] R.C. Ellwanger, K.D. Prall, D.R. Malinaric, R.W. Williams, J.E.J.SchmltzandE.1. Bromley, MRS Conf: Proc. VLSI VI, (1991) 335. [92] T.R. Gow, R.J.Label, J. Schmitz, R. Chow, E. Bromley, L. Reed and P. Arnold, MRS Conf: Proc., ULSLVII (1992) 557. [93] S. Z&sky, W. Hammer, F. d’Heurle and J. Baglin, Appl. Phys. Lett., 33 (1978) 76. [94] F. Mohammadi, K.C. Saraswat and J.D. Meindl, Appl. Phys. Lett., 35 (1979) 529. [95] C.-S. Yoo, T.-H. Lin, N.-S. Tsai and L. Ijzendoom, Jpn. J. Appl. Phys., 29 (1990) 2535, [96] K. Shenai, P.A. Piacente, N. Lewis, M.D. McConnell, G.A. Smith and B.J. Baliga, Mater. Res. Sot. Symp. Proc., 119 (1988) 177. [97] C.W. Koburger, H.J. Geipel, M. Ishaq and L.A. Nesbit, IEEE Electron Device I&t., EDL-5 (1984) 166. [98] R.S. Nowicki, P. Geraghty, D.W. Harris and G. Lux, Muter. Res. Sot. Synp. Proc., Vol. 181, 1990, p, 193. [99] Y. Tanigaki, S. Moribe and T. Itagaki, Proc. 5th VLSI Multilevel Interconnection Con& 1988, p, 191. [ 1001 H.C. Cheng, C.Y. Chao, W.D. Su, S.W. Chang, M.K. Lee and C.Y. Wu, Solid-State Electronics, 33 (1990) 365. [ 1011 A.K. Sinha, D.B. Fraser and S.P. Murarka, Proc. IEEERel. Phys., 1980, p. 159. [ 1021 A.K. Sinha, W.S. Lindenberger, D.B. Fraser, S.P. Murarka and E.N. Fuls, IEEE Trans. Electron Devices, ED-27 (1980) 1425. [ 1031 D.L. Kwong, Thin Solid Films, I21 (1984) 43. [ 1041 A. Ohsaki, J. Komorl, T. Katayama, M. Shimlzu, T. Okamoto, H. Kotani and S. Nagao, Extended AOstracts of the 21st CON& Solid State Devices and Materials, Tokyo, 1989, p. 13. [ 1051 S. Ogawa, T. Yoshida and T. Kouzaki, Appl. Phys. Left., 56 (1990) 725. [ 1063 S. Ogawa, T. Yoshida, T. Kouzaki, S. Okuda and K. Tsukamoto, Appl. SW& Sci., 41/42 (1989) 290. [ 1071 T. Yoshida, S. Ogawa, S. Okuda, T. Kouzaki and K. Tsukamoto, J. Electrochem. Sot., 137 (1990) 1914. [ 1081 A. Nishiyama, Y. Akasaka, Y. Ushiku, K. Hishioka, Y. Suizu and M. Shiozaki, VMIC, 1990, p. 310.

E.G.

Colgan

et al. /Formation

and stability

of silicides

on polycrystalline

silicon

[ 1091 J.B. Lasky, J.S. Nakos, O.J. Cain and P.J.Geiss, IEEE Trans. Electron Device, ED-38 (1991) 262. [ 1101 H. Jiang, CM. Osbum, Z.G. Xiao, G. McGuire and G.A. Rozgonyi, J. Elecfrochem. Sot., 139 (1992) 211. [ 1111 Z.G. Xiao, H. Jiang, J. Honeycutt, CM. Osbum, G. McGuire and G.A. Rozgonyi, Muter. Rex Sot. Symp. Proc., Vol. 181, 1990, p. 167; also appears in Vol. 182,1990, p. 65. [ 1121 H. Norstrom, K. Maex and P. Vandenabeele, J. Vuc. Sci. Technol., B8 (1990) 1223. [113] H. Norstrom, K. Maex and P. Vandenabeele, Muter. Res. Sot. Symp. Proc., Vol. 181, 1990, p. 173; also appears in Vol. 182, 1990, p. 71. [ 1141 H. Norstrom, K. Maex, A. Romano-Rodriguez, J. Vanhellemont and L. Van den hove, Microelectronic Eng., 14 (1991) 327. [ 1 IS] H. Jean, Y.S.Cho, E.Y. Kang, J.W. Park and R.J. Nemanich, Muter. Rex Sot. Symp. Proc., Vol. 311, 1993, p. 275. [ 1161 G.E. Georgiou, H. Abiko, F.A. Baiocchi, N.T. Ha and S. Nakahara, J. Electrochem. SOL, 141 (1994) 1351. [ 1171 L.R. Zheng, J.R. Phillips, P. Revesz and J.W. Mayer, Nncl. Instrrtm. Meth., B19/20 (1987) 598. [ 1IS] K.B. Affolter, A.A. Brown, S.R. Jennings, P.J.Rosser and L. Van den Hove, Proc. 4th VLSIMt&ilevel Interconnection Conj, 1987, p. 138. [ 1191 J.R. Phillips, L.R. Zheng and J.W. Mayer, Muter. Res. Sot. Symp. Proc., Vol. 106, 1988, p. 155. [ 1201 P. Lippens, K. Maex, L. Van den Hove, R. De Keersmaecker, V. Probst, W. Koppenol and W. Van der Weg, J. Physique, 9 (1988) c4-191. [121] H. Norstrom, K. Maex and P. Vandenabeele, Thin Solid Films, 198 (1991) 53. [ 1221 J.P. Gambino, E.G. Colgan and B. Cunningham, Proc. Symp. Interconnection and Contact Metullizations fur ULSI, Electrochemical Society, Inc., Pennington, NJ, 1991, p. 264. [ 1231 Y.W. Kim, I.K. Kim, N.I. Lee, J.W. Ko, ST. Ahn, M.Y. Lee and J.G. Lee, Mater. Rex Sot. Symp. Proc., Vol. 280,1993, p. 599. [ 1241 S. Chittipeddi, A.K. Nanda, V.C. Kannan and W.T. Co&ran, Muter. Res. Sot. Symp. Proc., Vol. 303, 1993, p. 75. [ 125 3 I. Sakai, H. Abiko, H. Kawaguchi, T. Hirayama, L.E.G. Johansson and K. Okabe, 1992 Symp. on VLSI Technology Digest ofTechnical Papers (IEEE), p. 66. [ 1261 A.H. Perera, C. Lage, A.R. Sitaram, M.P. Woo and S. Tatti, lEDM 1992, pp. 833-836. [ 1271 E. Ganin, S. Wind, P. Ronsheim, A. Yapsir, K. Barmak, J. Bucchignano and R. Assenza, Mater. Res. Sot. Synp. Proc., Vol. 303, 1993, p. 109. [ 1281 T. Suzuki, T. Miyazaki and N. Natsuaki, Appl. Phys. A, 50 (1990) 265. [ 1291 H. Kuwano, J.R. Phillips and J.W. Mayer, Appl. Phys. Lett., 56 (1990) 440. [ 1301 H. Sumi, T. Nishihara, Y. Sugano, H. Masuya and M. T&u, IEDM Tech. Dig., (1990) 249. [ 1311 Z. Ma and L.H. Allen, Phys. Rev. B, 49 (1994) 13501. [ 1321 J.R. Phihips, L.R. Zheng and J.W. Mayer, Appi. Phys. L&t., 51 (1987) 421. [ 1331 N. Matsukawa, Y. Takai, A. Yamanaka and T. Nogami, 1994 VMIC ConjY Proc., p. 475. [134] S. Vaidya, S.P. Murarka and T.T. Sheng, J. Appl. Phys., 58 (1985) 971. [ 13.51G. Drozdy, H. Ronkainen, I. Suni, Appl. Sutf Sci., 38 (1989) 72. [ 1361 J.R. Phillips, P. Revesz, J.O. Olowolafe and J.W. Mayer, Muter. Res. Sot. Symp.Proc., Vol. 181,1990, p, 159; also appears in Vol. 182, 1990, p. 57. [ 1371 Z.G. Xiao, G.A. Rozgonyi, CA. Canovai and CM. Osbum, J. Mater. Res., 7 (1992) 269. [ 1381 W. Chen, J. Lin, S. Banerjee and J. Lee, Muter. Res. Sot. Symp. Proc., Vol. 303, p. 81. [139] S. Nygren and S. Johansson, J. Var. Sci. Technol., A8 (1990) 3011. [ 1401 R.J. Schreutelkamp, B. Deweerdt, R. Verbeeck and K. Maex, Microelecfronic Eng., 19 ( 1992) 665. [ 1411 S. Pramanick, Y.N. Erokhin, B.K. Patnaik, G.A. Rozgonyi and J.P. Gambino, Mater. Res. Sot. Symp. Proc., Vol. 260, 1992, p. 435. [ 1421 S. Pramanick, Y.N. Erokhin, B.K. Patnaik and G.A. Rozgonyi, Appl. Phys. Lett., 63 (1993) 1933. [ 1431 A.E. Morgan, K.N. Ritz, E.K. Broadbent and AS. Bhansali, J. Appi. Phys., 67 (1990) 6265, [ 1441 Q.F. Wang, C.M. Osbum, P.L. Smith, CA. Canovai and G.E. McGuire, J. Electrochem. Sot., 140 (1993) 200. [ 1451 S.P. Murarka, CC. Chang and A.C. Adams, J. Vuc. Sci. Technol., BS (1987) 865. [ 1461 S. Pramanick, B.K. Patnaik and G.A. Rozgonyi, Muter. Res. Sot. Symp.Proc., Vol. 309, 1993, p. 475. [ 1471 T. Morimoto, H.S. Momose, T. Iinuma, I. Kunishima, K. Suguro, H. Okano, I. Katakabe, H. Nakajima, M. Tsuchiaki, M. Gno, Y. Katsumata and H. Iwai, IEEE ZEDM Tech. Dig., (1991) 653. [ 1481 S. Nygren, D. C&in, M. Gstling and F.M. d’Heurle, Appl. Surf: Sci., 53 (1991) 87. [ 1491 R. Mukai, S. Ozawa, H. Yagi and H. Tsuchiiawa, 1994 VMIC Conf Proc., p. 343. [150] W.J. Chenand L.J. Chen, J. Appl. Phys., 71 (1992) 653. [ 1.511B.E. Deal, J. Electrochem. Sot., 125 (1978) 576. [ 1521 A. Appelbaum, R.V. Knoell and S.P. Murarka, J. Appl. Phys., 57 (1985) 1880. [ 1531 F.M. d’Heurle, P. Gas and J. Philibert, Muter. Res. Sot. Symp. Proc., 343 (1995) 181. [ 1541 M. Revitz and J.F. Shepard, Electrochem. Sot. Ext. Abs., Vol. 79-2, 1979, p. 1427. [ 155] R.D. Thompson, H. Takai, P.A. Psaras and K.N. Tu, J. Appl. Phys., 61 (1986) 540. [ 1561 A.J. Yu and K.J. Giewont, Effects of a TiSi, Polycide Gare on 64M DRAM, oral presentation at Int, Con$ Metallurgical Coatings and Thin Films, April 1994, San Diego, CA. [ 1571 C.V. Thompson and HI. Smith,Appl. Phys. Left., 44 (1984) 603. [ 1581 R.J. Jaccodine, J. Electrochem. Sot., 110 (1963) 524. [ 1591 R.W. Cahn (ed.), Plzysicul Metallurgy, North-Holland, Amsterdam, 1970, Chap. 19, p. 1129.

95

96

E.G. Colgan et al. /Formation and stability of silicides on polycrystalline silicon

[ 1601 T.E. Karlin, S. Nygren, L. Mattsson, E. Andre, M. Bjuggren and F.M. d’Heurle, Appl. Surf. Sci., 73 (1993) 280, [161] J.M. Harris, R.J. Blattner, I.D. Ward, CA. Evans, H.L. Fraser, M.-A. Nicolet and CL. Ramiller, J. Appl. Phyys.,48 (1977) 2897. [ 1621 S.S. Lau, Z.L. Liau and M.-A. Nicolet, Thin Solid Films, 47 (1977) 313. [ 1631 L.H. Allen, J.W. Mayer, K.N. Tu and L.C. Feldman, Phys. Rev.,B 41 (1990) 8213. [ 1641 D.J. Srolovitz and %A. Safran, J. Appi. Phys., 60 (1986) 247. [165] B.-Y. Tsaur, C.K. Chen, C.H. Anderson, Jr. andD.L. Kwong,J. Appl. Phys.,57 (1985) 1890.