136 Lithography Masks and Pattern Transfer Abrikosov AA, Gorkov LP, and Dzialoshinski JE (1963) Methods of Quantum Field Theory in Statistical Physics, chs. 1 and 4. New York: Dover. Anderson PW (1984) Basic Notions of Condensed Matter Physics, ch. 3. Menlo Park, CA: The Benjamin Cummings. Baym G and Pethick C (1991) Landau Fermi-Liquid Theory. New York: Wiley. Coleman P (1995) Condensed matter: strongly correlated electrons Physics, World December issue, pp. 29–34. Georges A, Kotliar G, Krauth W, and Rosenberg MJ (1996) Dynamical mean-field theory of strongly correlated fermion systems and the limit of infinite dimensions. Reviews of Modern Physics 68: 13–125. Gross EKU, Runge E, and Heinonen O (1991) Many-Particle Theory. Bristol: Adam Hilger. Hewson AC (1993) The Kondo Problem to Heavy Fermions, ch. 5. Cambridge: Cambridge University Press. Kuramoto Y and Kitaoka Y (2000) Dynamics of Heavy Electrons. Oxford: Clarendon.
Liquids, Theory of: Non-Fermi Liquids
Lifshitz EM and Pitaevskii LP (1999) Statistical Physics, part 2, ch. 1. Oxford: Butterworth-Heineman. Mahan G (1990) Many-Particle Physics. New York: Plenum. Pines D and Nozieres P (1988) The Theory of Quantum Liquids, 2nd edn. Redwood City: Addison-Wesley. Spa"ek J (2000) Correlated Fermions: A new paradigm in physics on example of solid-state physics. European Journal of Physics 21: 511–534. Spalek J, Datta A, and Honig JM (1987) Discontinuous metal– insulator transitions and Fermi liquid behavior of correlated electrons. Physical Review Letters 59: 728–731. Varma CM, Littlewood PB, Schmitt-Rink S, Abrahams E, and Ruckenstein AE (1989) Phenomenology of the normal state of Cu–O high-temperature superconductors. Physical Review Letters 63: 1996–1999. Vollhardt D (1984) Normal 3He: an almost localized Fermi liquid. Reviews of Modern Physics 56: 90–120.
See Liquids, Electronic Structure of.
Lithography Masks and Pattern Transfer C Romeo and P Cantu`, STMicroelectronics, Agrate Brianza, Italy & 2005, Elsevier Ltd. All Rights Reserved.
The light modifies the chemical nature of the exposed resist making it soluble to a specific solution. A development treatment then, with a basic solution, (0.26 N), removes the exposed resist (positive process), transferring the mask design onto the resist
Introduction Integrated circuits (ICs) are commonly manufactured using ‘‘planar technology.’’ The different layers of an electronic device are fabricated with a sequence of technological processes (deposition, lithography, etching, and implantation) opportunely integrated. Electronic performances and financial costs are essential goals in the semiconductor business and both are dependent on the ability of printing small feature sizes (transistors, connections, etc.) or more precisely small critical dimensions (CDs). Lithography is the technique used to print these features; therefore, its role in the semiconductor industry is of paramount importance. In a very schematic way, the process can be described as follows: The electrical design of each layer is drawn on a lithographic photomask or reticle (both terms are used interchangeably) in a way that the circuit features are made of an absorbent material, while the remaining background is transparent to light; the reticle (which is partially transparent) is exposed to a source of light (see Figure 1) and its image is projected through a lens (4–5 reducing power) onto a silicon wafer previously covered with a photosensitive polymer (resist).
Source
Reticle
Lens
Wafer
Figure 1 Schematic representation of the photomask exposure onto a silicon wafer in a lithographic process for IC fabrication.
Lithography Masks and Pattern Transfer 137
layer that will be used to protect areas of the wafer from metal-oxide etching, implantation, etc. After the resist is removed, these process steps are repeated a number of times according to the complexity of the IC. Currently, the semiconductor industry is massmanufacturing ICs with a minimum CD of 130 nm (130 nm node), and the production of 90 nm electronic devices (90 nm node) has begun. Lithographic exposure tools use wavelengths of 248 and 193 nm with chemical-amplified photo-resists and phaseshifting reticles for the most critical layers. There is a general consensus among IC makers that future developments will be the 65 nm node in 2005, the 45 nm node in 2007, and the 32 nm node in 2010. Lithographically, this can be achieved using smaller wavelengths (13.5 nm for extreme ultraviolet lithography), a new technique called immersion lithography, lenses with higher numerical aperture, phase-shift masks (PSMs), and more advanced photo-resist processes. The following paragraphs first describe how the reticle image is formed at the wafer level and then, a more detailed description of photomask characteristics and their manufacturing process is given.
Aerial Image Formation Photomask or Reticle Diffraction
The interaction of electromagnetic waves with a reticle produces an image intensity profile in accordance with the diffraction theory of light. In order to describe this phenomenon, consider for simplicity, a binary reticle with equal lines and spaces; l ¼ s ¼ d and with periodicity P ¼ 2d. For simplicity, this reticle is considered to be illuminated uniformly (Ko¨hler’s illumination) by a plane monochromatic wave l, normally incident. The diffracted light produces a Fraunhofer diffraction pattern with a maxima of intensity in the directions given by the angle y: sin y sin y0 ¼
ml ; nP
m ¼ 0; 71; 72; y
(x,y) p =2d
m=−1 m=0 m= +1
(a)
(x0,y0) m=−1
p =2d
m=0 m= +1
z
(b)
Figure 2 (a) A ray of coherent light normally incident ðy0 ¼ 0Þ onto a reticle is diffracted in different directions. (b) For a smaller pitch P or larger l, the diffraction angle y becomes larger.
Entrance pupil
Projection lens
Reticle (x,y)
+1
Focal plane ( , ) S+1
Wafer (x1,y1)
S−1
−1 f
d
Figure 3 Reticle image formation at the wafer plane.
reticle transmission function calculated at the special frequencies p ¼ x0 =ðlzÞ; q ¼ y0 =ðlzÞ, where z is the distance between the reticle and the image plane. According to [1], the diffraction angle y of each Fourier component is larger for a smaller pitch or for a larger l (Figure 2). In other words, a lens facing a reticle with the scope of collecting the diffraction orders transmitted must have a larger diameter when the feature sizes are smaller. A diffractionlimited lens will faithfully reconstruct the image recombining all the Fourier components. However, in a real situation where the lens dimension is finite, only a few orders are collected and the image is partially reconstructed. Image Formation
½1
where y0 is the angle of incidence, m is an integer, and n is the refraction index of the medium surrounding the mask and the lens. If z is the distance between the reticle and the image plane (see Figure 2), it is known from the optical theory that in the far field approximation p zc ðx2 þ y2 Þ l the Fraunhofer diffraction pattern in the plane ðx0 ; y0 Þ is given by the Fourier transform of the
The image formation of the reticle in the image plane (wafer level) can be explained with the Abbe’s theory of image formation. According to this theory, the image arises from a double diffraction process: the wave plane is diffracted first by the reticle and then by the lens (Figure 3). The wave front transmitted through the reticle becomes a set of plane waves or spatial frequencies traveling in different directions (m0, m71, m72, etc.). The Fraunhofer diffraction pattern obtained in the focal plane ða; bÞ at a distance f from the lens is proportional to the Fourier transform of the reticle
138 Lithography Masks and Pattern Transfer
transmission function Gðx; yÞ: Hða; bÞ ¼ A0
total field distribution is
Z Z
Gðx; yÞ C a b exp ik x þ y dx dy f f
Eðx1 ; y1 Þ ¼ A1
l nP
½2
½3
or d¼
1 l 1 l ¼ 2 n sin y 2 NA
½4
The above equation is known as Rayleigh’s resolution limit for lines and spaces, for coherent illumination, where n sin y ¼ NA is the numerical aperture of the lens. It can be demonstrated that in the case of incoherent illumination, the expression can be rewritten as d¼
1 1 l 1 l 1 ¼ 1 þ s 2 n sin y 2 NA ð1 þ sÞ
Hða; bÞ 1 x y1 a þ b da db exp ik d d B
where A0 is a constant and the integration is done over the reticle area C. The maxima of intensity in the focal plane, S0, S71, S72, etc., can be envisioned as emitters of Huygens waves that interfere in the reproducing of the image of the reticle in the image plane (x1, y1) of the lens. The perfect reconstruction of the reticle image can be obtained considering that all the spatial frequencies are collected by the lens. In a real situation, the lens has a finite aperture, therefore, the highest frequencies are cut off. Only low special frequency components, corresponding to small y in [1], passing close to the center of the lens, contribute to the image formation. The reticle image can be reconstructed if there are at least two interfering plane waves; therefore, the lens must be large enough to capture the order m ¼ 0 and mX1. The minimum resolved feature is then sin y ¼
Z Z
½5
where s represents the partial coherence factor which is a measure of the physical extent of the light source. The larger the light source, the larger the s. For a point source, s ¼ 0 and one has coherent illumination , for s ¼ 1 one has a large source and incoherent illumination. As already said, the image of the reticle on the plane ðx1 ; y1 Þ is given by the Fraunhofer diffraction of the waves emitted by S0, S71, S72, etc., and the
½6
where d is the distance between the focal plane and the image plane, k ¼ 2p=l, and B is the area of the aperture on the focal plane assuming that its dimension is smaller than d. Using [2], the expression [6] can be rewritten as 1
1
Eðx ; y Þ ¼ A0 A1
Z
N
þN
Z
N
þN
Z
N
þN
Z
N
Pða; bÞ
þN 1
k x x Gðx; yÞexp i f M 1 y þ y b dx dy da db M
a ½7
Gðx; yÞ is assumed as zero for all points that are outside C; the pupil function Pða; bÞ is the transmission function in the pupil plane (equal to 1 for transmitted frequencies pNA=l, and zero for blocked components); f =d ¼ 1=M where M is the magnification factor of the lens that for leading edges lithography equipment is 4 or 5 (reticle 4–5 times larger than its image on the wafer). Generally, the intensity at the wafer level can be obtained by [7]: Iðx1 ; y1 Þ ¼ jEðx1 ; y1 Þj2 Z N Z ¼ A0 A1
N
Z
N
Z
N
Pða; bÞ þN þN k x1 x Gðx; yÞexp i a f M 2 y1 b dx dy da db þ y ½8 M þN
þN
In the case of a photomask with N equidistant spaces (N large) of width s and with pitch P, and if the aperture in the focal plane is such that apapa, eqn [7] can be written in x1-direction: " 1
Eðx Þ ¼ E0 1 þ 2
X 1omom0
sinðmps=PÞ 2pmx1 cos mps=P dP=f
#
where m0 ¼ aP=lf . In the case of m0 ¼ N, the summation can be changed with an integration and the image collected in the image plane (or wafer level) becomes similar to the object.
Lithography Masks and Pattern Transfer 139 Substrate transparent: Quartz Substrate absorbent: Chrome
(a)
(b)
Figure 4 Schematic view of two binary masks for two different electronic layers: (a) lines and spaces (active area, poly, metal, etc) and (b) contact level.
Definition of Photomask A mask is a transparent substrate selectively covered with a thin opaque layer, intended for the reproduction of patterns on wafers. In the case of optical photomasks, the pattern, which represents one level of an IC, is formed in a chromium layer sputtered over a fused silica substrate. Typically 20 to 30 masks, defined as a mask set, are required for imaging all layers necessary for the manufacturing of an IC. The terms mask and reticle are used interchangeably although historically, the first one was used for defining 1X patterned substrates used for exposing the whole wafer with contact printing technology, while the term reticle is used to define 2X, 4X or 5X patterned substrates used in optical projection systems. Figure 4 represents a schematic of photomasks for two different layers: (a) lines and spaces, and (b) contact holes.
Types of Photomask One may distinguish optical photomasks in two main categories: binary masks and PSMs. Masks for EUV applications, stencil masks or membrane masks for X-ray or electron projection lithography are not specifically discussed. Binary Mask
A binary mask is a close replication of the circuit design pattern, with the possible addition of biases (i.e., the CD can be modified compared to the original design) in order to take into account lithography for optical proximity corrections (OPCs) or etch loading effects; its patterned area can be only clear or opaque, hence the term binary. Phase-Shift Masks
PSMs were introduced in 1982 to improve the ultimate resolution achievable with wafer scanner exposure tools. The principle of this method
consists in taking advantage of phase differences caused by different areas on the mask to obtain destructive interference and achieve better image contrast. PSMs can be separated in two categories: ‘‘weak PSMs,’’ that include half-tone and embedded attenuated phase shift masks (EAPSMs), and ‘‘strong PSMs’’ that include alternating phase shift masks (APSMs). In the case of EAPSMs, the opaque region of the binary mask is substituted by a partially transmitting material that induces a 1801 phase variation with respect to the bright regions. The destructive interference between clear areas and a partially transmitting background enhances the image contrast of the bright region. The improved image quality allows one to achieve a larger process latitude and depth of focus leading to a robust lithographic process. Usually 6% transmittance is used for leading edge masks; a higher transmittance allows one to achieve better contrast, due to a greater phase interaction, but is practically unusable due to the risk of unwanted side-lobe printing. Specifications related to half-tone and EAPSMs can be found in SEMI P290997: Guideline for description of characteristics specific to Halftone Attenuated Phase Shift Masks and Mask Blanks. In strong APSMs, chrome structures are bordered with clear areas of 1801 phase difference that leads to a destructive interference and thus to an enhanced image contrast. While in EAPSMs, the phase and transmission variation is obtained using appropriate materials, the most common being moly-silicide (MoSi), in strong PSMs the phase variation is generally obtained directly by etching the quartz substrate. Figure 5 summarizes the binary mask and the PSM imaging principle. Photomask Manufacturing Process
The manufacturing process of a photomask is quite similar to the one used for producing silicon wafers: the mask blank, which consists in a very flat piece of glass covered by a thin layer of chrome, is spun with a thin film of resist. The resist is exposed to light or to electrons in order to define the circuit geometries, and is then developed so as to form the required pattern. After development, the chrome material is etched and, finally the residual resist is removed. In order to provide more details, the steps of photomask manufacturing can be summarized as follows (Figure 6): (1) mask blank preparation; (2) pattern writing; (3) processing; (4) critical dimension metrology; (5) position accuracy metrology; (6) defect inspection; (7) cleaning; (8) defect repair; (9) pellicle attachment; and (10) final inspection.
140 Lithography Masks and Pattern Transfer
Chrome
Quartz
e
e
Intensity
Partially transmitting
Resist threshold i
i
Quartz
e-field
e
e Bright(+) Dark(0) Bright(−)
Quartz
i
i
Mask
Quartz
Phase shifting Material
Etched quartz
i ∝e 2
Silicon
Silicon
Silicon
Binary
AAPSM
EAPSM
EAPSM
Wafer
Silicon
Figure 5 Comparison of binary and phase shift photomasks.
Quartz Chrome Resist
2 Develop resisit 1 Generate pattern Develop temporary Convert circuit design data to image in resist pattern in resist serve as mask for etching through e-beam/laser exposure.
6 Measure image placement Ensure features are in proper position.
5 Measure CD Ensure features are the proper size.
4 Remove resist Remove temporary masking layer.
Pinhole
Placement
Width
9 Repair Repair any defect found.
3 Etch chrome Define permanent pattern in chrome
7 Initial cleaning Clean for defect inspection.
10 Pre-pellicle cleaning 11 Apply pellicle Remove any particle Provide a before pellicle particle barrier. application.
8 Defect inspection Ensure that unetched chrome or pinholes are prevented.
12 Audit Final check.
Figure 6 Photomask fabrication process.
Mask Blanks Substrate Sizes
Photomask substrates requirements are defined in SEMI standard reticle format document (SEMI
P1-92 Re-approved P01-0299: Specification for Hard Surface Photomask Substrate). Actually, the standard size for leading edge masks is 15.24 cm square by 0.635 cm thick. The substrate size has been progressively increased in order to
Lithography Masks and Pattern Transfer 141 Table 1 Optical characteristics of chrome film at different wavelengths Wavelength ðnmÞ
Complex refraction index
Reflection from chrome glass interface
Transmission through 60 nm thick film of chromium
Effective transmitted light ð%Þ
436 365 248 193 157
1.79 1.39 0.85 0.84 0.68
0.60 0.56 0.47 0.38 0.32
0.0009 0.0012 0.0022 0.0016 0.0048
0.036 0.055 0.119 0.098 0.310
i 4.05 i 3.24 i 2.01 i 1.65 i 1.11
accommodate larger and larger die sizes, while mask thickness has been increased from 0.0900 up to 0.2500 to minimize edge placement errors induced by the gravitational lag of the substrate as well as plate distortions caused by the clamping system of tools used for mask patterning and wafers exposure. Substrate Materials
Mask substrate materials are chosen to comply with some mechanical and physical properties that can be summarized as follows: transparency at the exposure wavelength, thermal expansion, flatness, birefringency (only for 157 nm). Low thermal expansion materials are required mainly for two reasons: 1. To minimize mask pattern placement errors induced by temperature variations that may happen during the mask patterning process, and 2. To minimize wafer pattern placement errors induced by mask heating that may happen when the mask is exposed to laser radiation in exposure tools. A substantial portion of the placement error induced by temperature variations during mask patterning consists in magnification errors, which can be adequately compensated by wafer exposure tools, but residual errors caused by thermally instable material cannot be compensated. A consideration of the depth of focus is the main driver for the tight control of reticle flatness which, for leading edge masks can be specified down to minor-equal 0.5 mm, depending on focus budget considerations. Photomasks for leading technology use fused silica (SiO2) substrates; this material has been chosen mainly for its low thermal expansion coefficient and for its transmission at current exposure wavelengths. For 157 nm exposure wavelength, fluorine-doped fused silica is introduced to maintain transparency at a sufficient level. Opaque Film
The typical opaque film deposited on photomask blanks is chrome whose top surface is usually
covered with a thin antireflective layer in order to minimize reflection of light reflected back by the wafer surface. The film characteristics are specified in SEMI P2-86 Re-approved P2-0298: Specification For Chrome Thin Films For Hard surface Photomasks. Chrome is normally deposited using a sputtering process with a thickness that may range from 50 to 110 nm. Table 1 reports the optical characteristics of the chrome film at different wavelengths. Several alternative materials have been tested over time; among others, a molybdenum-silicon layer has been proved to be a good alternative and is actually used for embedded phase-shift masks (EPSMs).
Mask Lithography Mask writing tools are used to define the latent image of circuit patterns in the resist film spun over the mask substrate. Commercially available mask writing tools may be divided into two big categories: 1. electron-beam tools: (a) raster based (Gaussian beam); (b) vector based (beam shaped); and 2. laser tools.
Electron Beam Tools
Electron beam tools used for mask patterning are based on the same technology initially developed for electron microscopy. Energetic electrons induce chemical reaction in the resist, in the same way as photons do when using laser tools. One of the main advantages related to this kind of equipment, when compared to laser-based ones, is its very high resolution because electron diffraction occurs in the atomic range. Ultimate resolution is mainly limited by scattering of the electrons with the resist. To reduce this undesired effect, new tools adopt higher and higher beam energy together with complex electron proximity effect correction methodologies which are used to avoid dose variations induced by back-scattered electrons.
142 Lithography Masks and Pattern Transfer
Electron beam tools may be divided into two subcategories: raster scan tools, which use a Gaussian beam, and vector shaped tools, where the beam shape is changed while patterning the mask. Electron beam raster scan tools In raster scan tools, the design is divided into pixels, whose dimension, in the simplest implementation, is the one of the electron beam itself. The mask is scanned by deflecting the e-beam electromagnetically, while a high-precision stage, controlled by an interferometric system, moves the substrate. The beam is blanked or unblanked in synchronism with the deflection to form the desired circuit latent image in the resist. One should observe that the mask is progressively scanned for its whole area: even areas that should not be exposed must be scanned, leading to increased overall exposure time. Electron shaped beam vector tools In vector tools, an electron beam is addressed just over the areas to be exposed: this approach dramatically reduces the exposure time. Additionally, these tools usually adopt a shaped beam, obtained by passing the electron beam through appropriate apertures. The pattern is obtained by exposing these elementary shapes that are butted or stitched together. Shaped beam tools have been introduced only recently, mainly due to the complexity required by their engineering, but are actually used for writing most of the leading edge masks as they allow one to achieve sharper corners and better resolution when compared to raster tools. Laser Tool
Laser tools use an array of focused laser beam, with a roughly Gaussian profile, that is individually blanked or unblanked while scanning the mask. The light, generated by a laser, is split in a certain number of independent beams, the intensity of each beam being individually modulated using an acoustic–optic modulator. Laser beams are then scanned over the mask surface using a multifaced polygonal mirror rotating at high speed. During the exposure, the mask is clamped on a reticle stage that is moved under laser interferometric control.
Resist and Processing Resists are radiation sensitive materials, typically composed of organic polymers and small molecules, used for enhancing lithographic performance. The exposure of resists to laser or e-beam radiation induces a change in solubility of the exposed regions compared to nonexposed ones: this property is used
during the development step in order to define the pattern into the resist. The choice of the resist material is mainly related to the exposure tool environment, together with other lithographic properties such as sensitivity, contrast, and ultimate resolution achievable. Sensitivity is particularly important as it is directly related to the throughput of the tool. No material can meet sensitivity requirements for both laser and e-beam radiation, so two completely different processes are used by mask manufacturers depending on the writing tools used for patterning. e-Beam Resists
Historically, the most common e-beam resist has been PBS poly (butane-1-sulfone), an alternating copolymer of 1-butene and sulfur dioxide, developed by Bell Laboratories. PBS is a positive resist usually developed using pentanone and other solvents such as methyl iso-amyl ketone. The need for better and better lithographic performances and the introduction of plasma etch of chrome brought about the development of alternative materials such as ZEP7000, a polymer of methylstyrene and chloromethyl acrylate from Nippon Zeon. i-Line and DUV Resists
In general with optical (l ¼ 365 nm) resists, the process control can be reduced by the standing waves phenomena. A post-exposure bake (PEB) step is then usually applied in order to reduce the standing waves and improve the process control, as it happens in wafer lithography. New tools, operating at DUV wavelengths (248 nm), allowed the introduction of chemical amplified resists that obliged mask makers to start coating mask blanks themselves; historically mask blanks were pre-coated with appropriate resists by blank suppliers. PEB temperature control is quite critical and much more difficult than the one achievable with silicon wafers due to the mass of the substrate: that is the main reason why resist materials are selected with low sensitivity toward post-exposure bake temperature. Chrome Etching
After resist development, the chrome opaque film is removed from the mask surface, using a wet-or-dryetch process. Wet etch has been used for many years and it is based on a solution containing ceric ammonium nitrate mixed with nitric, perchloric, or acetic acid. Wet-etch processes are characterized by a non-negligible undercut that may contribute to line width variations, especially when the chrome thickness is not uniform. Additionally, patterns cannot be well defined if the undercut becomes comparable
Lithography Masks and Pattern Transfer 143
with the dimension of the structures to be defined. For these reasons, the need for better and better pattern fidelity, together with the continuous shrinkage of CDs, pushed mask makers to move from wet-etch processes toward dry-etch processes. Typically, chrome is dry etched using a mixture of Cl and O2 together with other gases added for reducing etch-loading effects that may bring iso-dense critical dimension variations.
range of features of the same nature, same tone, and different design sizes. Mask CD control becomes significantly important when considering feature sizes near the diffraction limit. In such a condition, there is a change in magnitude by which feature sizes on the mask are reduced by lens reduction onto the wafer. This effect is described by the mask error factor (MEF): MEF ¼
Metrology Three main parameters are measured once the mask is finished: feature dimension, pattern placement, and defect density. Feature Dimension Control
The feature dimension control is performed to assure that geometry dimensions on the mask match the expected one. Three parameters related to CDs are usually reported: the average CD with respect to its nominal value, the CD uniformity across the reticle, generally reported as a three-sigma deviation of mask feature sizes for a single dimension, the feature size linearity down to a given dimension. The average of a given CD with respect to its nominal value is specified as mask mean to target: which, for a given nominal CD value N (or target), is the difference between the mean of all measured CD values (CDi) and their nominal N: P ðCDi Þ N Mask mean to target ¼ i n where n is the number of measurements. More commonly, the CD accuracy is specified using the Max CD deviation, which, for a given nominal CD value N, is the greatest absolute value of the difference among the all measured CD values (CDi) and their nominal N:
@ðCDwafer Þ @ðCDmask =MÞ
where M is the imaging system reduction ratio, typically M ¼ 4 for DUV lithography systems. For larger features, MEF ¼ 1 but becomes bigger as lithography is pushed to the diffraction limit (i.e., for CDs close to the Rayleigh’s resolution limit). CD measurements have been performed for many years using optical microscopes coupled with software image analysis. Actually, CD measurements for leading edge masks are mainly performed using scanning electron microscope (SEMs) while several alternative methodologies are being explored for future technologies; these include scatterometry, Atomic force microscope (AFM), and optical immersion microscope. Pattern placement
The pattern placement accuracy, or registration accuracy, refers to the deviation of pattern centerlines relatively to a defined reference grid. Registration is a vector quantity defined, at every point on the mask, as the difference between the vectorial position of the mask geometry and the vectorial position of the corresponding point on a reference grid. Pattern placement is measured on registration control tools that consists in a microscope, used for locating the feature to be measured, coupled with a high precision stage whose position is controlled by a laser interferometer.
Max CD deviation ¼ MAXjCDi Nj Mask Defects and Inspection
If more than one nominal CD is required, the Max CD deviation is the greatest among all the Max CD deviations for each nominal. The CD uniformity across the mask is specified by the CD range which, for a given nominal CD value N (taking into account all measured CD values at that nominal) is the difference between the maximum and the minimum measured value: CDrange ¼ MAXðCDi Þ MINðCDi Þ Finally, the linearity is specified as the difference between MAX and MIN ‘‘mask mean to target’’ for a
A key requirement to mask makers is to produce ‘‘zero defect’’ reticles. A mask defect can be defined as any imperfection on the photomask pattern liable to be reproduced on a photoresist film, thus preventing the proper functioning of the microelectronic device being manufactured. One may distinguish defects in different categories: *
Macro defects: defects on mask that are visible to the naked eye, with or without the aid of a collimated spot light, for example, fingerprint left either by bare fingers or gloves;
144 Lithography Masks and Pattern Transfer *
Micro defects: defects not visible to the naked eye, whose detection requires the use of automatic defect inspection systems or of any magnification tool.
One could also distinguish defects by their nature: 1. Soft defects, defined as defects that can be removed by a cleaning process: (a) particles (b) contaminations (c) process residuals 2. Hard defects, defined as defects that cannot be removed by a cleaning process (a) chrome protrusions (b) chrome intrusions (c) splintering: damaging of the substrate along its perimeter, glass missing (d) pinholes (e) scratches: an incision having regular or irregular shape and three dimensions: length, width, and depth where the length is much bigger than the width and the width itself is almost equal to the depth. 3. At each technological node (generation of semiconductor devices), the minimum CD is usually related to the minimum defect size allowable. In order to guarantee defect-free masks, automatic defect inspection tools have been developed. Inspection tools may work in two modes: * Die-to-die mode: automatic inspection of mask defectivity based on the comparison of at least two identical patterns, structured into regular arrays; * Die-to-database mode: automatic inspection of mask defectivity based on the comparison of the mask pattern against its original database. The ability of an inspection tool to detect accurately all the defects present on a plate determines its defect capture efficiency that is related to many factors: inspection light wavelength, pixel size, sensors efficiency, real-time signal analysis, stage resolution, and many others. It is to be taken into account that high sensitivity requires small pixel sizes, and so higher inspection time and a higher chance to detect false defects: differences detected, even if below the defect specification requirement. Once mask inspection is terminated, defects are normally manually reviewed by an operator who classifies all captured defects transferring coordinates of hard defects to be repaired to appropriate tools. Inspection equipment are certified by performing a mask inspection with pre-programmed defects of certified dimensions.
Repair Mask defects may be repaired by proper tools, and several techniques have been developed over the years: laser tools are the most diffused together with focused ion beam. More recently, a mechanical method that utilizes the positional control of an AFM coupled with RAVE LLCs nanomachining head to perform material removal with nanometer level precision has been proposed.
Cleaning The scope of cleaning is to remove resist residuals, particles, and all other possible contaminations without damaging the mask itself. Traditionally, the cleaning step has not been a major concern for the mask industry. Mask cleaning is usually performed by the use of wet methods developed by the wafer industry. In the past, immersion processes were most diffused for the cost of ownership reasons, while recently the tightening of cleaning specifications pushed mask manufacturers to introduce spin/spray processes. Several cleaning chemistries have been developed over the years: among the others, the most used are based on H2SO4 and H2O2 to remove heavy organic materials such as resist and hydrocarbons, or H2O, H2O2, and NH4OH to remove light organic residues and particles.
Pellicle The requirement to produce ‘‘zero defect’’ masks has already been discussed. To avoid contamination of mask surface during its usage, pellicles are attached to the photomasks. Pellicles consist in a thin (o1 mm) polymer film, usually made of nitrocellulose or a form of teflon, stretched on an anodized aluminum frame, that is attached to the photomask using special adhesives characterized by low outgassing and stability to exposure at actinic light. Table 2 reports
Table 2 Pellicle materials commonly used Materials
Thickness ðmmÞ
Transmission ð%Þ
Wavelength ðnmÞ
Nitrocellulose
1.4
99
Cellulose
1.4
99
Fluorocarbon
1.2
99
Teflon
0.6
99
436 (g-line), 365 (I-line) 436 (g-line), 365 (I-line) 365 (I-line), 248 (DUV) 248 (DUV), 193 (EDUV)
Local Field Effects
Light
Reticle
Pellicle
145
P5-94: Specification For Pellicles. Pellicles keep contaminations far away from the chrome features that are to be printed; in that way, with a typical depth of focus o1 mm, particles are not in the focus plane of the wafer and then are not printed on the wafer (Figure 7). The typical frame height is in the range of 6 mm, small holes in the frame allow one to maintain air pressure of the space between the mask surface and the pellicle equal to the ambient pressure. The frame surface has to be quite flat so as to avoid the distortion of the mask that may induce registration and focus errors. See also: Integrated Circuits; Semiconductors, General Properties; Semiconductors, History of; Silicon, History of.
Lens
PACS: 85.40.Hp; 42.82.Cr; 81.16.Nd; 42.50.St; 42.15.Dp; 42.30.Kq; 42.30.Lr; 42.30.Va; 42.70.Ce
Further Reading
Wafer
Figure 7 Cross-sectional view of a mask with pellicle. The particle accidentally deposited on the pellicle will produce an image severely blurred onto the wafer.
the most commonly used materials used for mask pellicles and their characteristics: Characteristics of various pellicle materials are illustrated more in detail in the document SEMI
Born M and Wolf E (1964) Principles of Optics, 3rd edn. Pergamon. Choudhory PR (1997) Handbook of Microlithography, Micromachining and Microfabrication, Microlithography, vol. 1. Bellingham WA: SPIE Optical Engineering Press. Gaskill J (1978) Linear Systems, Fourier Transforms, and Optics. New York: Wiley. Larmore L (1995) Introduction to Photolithographic Principles, 2nd edn. New York: Dover. Levinson HJ (2001) Principles of Lithography. Bellingham WA: SPIE Optical Engineering Press. Wilson RJ (1994) Fourier Series and Optical Transform Techniques in Contemporary Optics. New York: Wiley. Wong AKK (2001) Resolution Enhancement Techniques in Optical Lithography. Bellingham WA: SPIE Optical Engineering Press.
Local Field Effects W Schattke, Christian-Albrechts-Universita¨t zu Kiel, Kiel, Germany & 2005, Elsevier Ltd. All Rights Reserved.
Introduction If a dielectric material is exposed to an electric field, a dipole moment is established which is detected by the force the field exerts on it. It is a common experience to have felt the attraction acted by a charged external body as by a comb on one’s hair. Such materials show induced polarization in an external electric field usually observed as surface charges disregarding the
microscopic details of the interior. However, the field acts in the interior of the whole dielectric body and induces the polarization therein through the polarizability of the atomic constituents of the body. The well-known textbook Lorentz–Lorenz construction of the so-called ‘‘local field’’ is applied, which explicitly takes into account the presence of an induced field adding to the external field and being self-consistently determined at every point. In course of time, the Lorentz–Lorenz construction has been set on an ab initio fundament by considering the total many-body system, which also led to the quantitative determination by well-accepted definite rules though the whole problem cannot be solved in a closed manner.